公務(wù)員期刊網(wǎng) 精選范文 高性能集成電路的概念范文

高性能集成電路的概念精選(九篇)

前言:一篇好文章的誕生,需要你不斷地搜集資料、整理思路,本站小編為你收集了豐富的高性能集成電路的概念主題范文,僅供參考,歡迎閱讀并收藏。

高性能集成電路的概念

第1篇:高性能集成電路的概念范文

關(guān)鍵詞:AXIe ;PCIe;同步數(shù)據(jù)傳輸;高速圖形傳輸

DOI: 10.3969/j.issn.1005-5517.2013.10.005

E-Beam(電子束)微影技術(shù)(Lithography)是下一世代無(wú)光罩(maskless)半導(dǎo)體制程。通過(guò)無(wú)光罩微影技術(shù)可使微影制程突破目前20奈米或更小制程的限制。E-Beam 微影系統(tǒng)需要使用極高帶寬的數(shù)據(jù)傳輸系統(tǒng),將大量集成電路圖案數(shù)據(jù),從數(shù)據(jù)服務(wù)器先通過(guò)數(shù)據(jù)傳輸系統(tǒng)解壓縮后,再通過(guò)數(shù)千條光纖并行傳輸至 E-Beam 機(jī)臺(tái),且通道對(duì)通道間的時(shí)鐘偏移(skew)不得大于 2ns。基于高通道高密度及高數(shù)據(jù)傳輸帶寬的需求,凌華科技采用AXIe平臺(tái)架構(gòu)來(lái)建置E-Beam 數(shù)據(jù)傳輸系統(tǒng)。

E-Beam 無(wú)光罩式微影技術(shù)可突破傳統(tǒng)光罩式微影技術(shù)的限制。概念上就像一臺(tái)超高速的打印機(jī)。不同于打印機(jī)噴出墨水,E-Beam機(jī)臺(tái)的電子槍投射出數(shù)千組平行電子束,打印至覆蓋有光阻劑的晶圓表面,超過(guò)8,000組電子束會(huì)通過(guò) MEMS 數(shù)組來(lái)控制個(gè)別電子束的開關(guān),而每個(gè)電子束開關(guān)的控制命令,則是通過(guò)個(gè)別的高速光纖輸出通道來(lái)做控制,因此會(huì)需要超過(guò)8,000個(gè)光纖輸出通道。為避免控制命令不同步造成電路圖案失真及錯(cuò)誤,系統(tǒng)整體需求為所有光纖通道間數(shù)據(jù)的時(shí)鐘偏移不能超過(guò) 2ns。

可符合經(jīng)濟(jì)效益的產(chǎn)出標(biāo)準(zhǔn)為每小時(shí) 10片以上,換句話說(shuō)每6分鐘要完成一片晶圓。每一個(gè)集成電路光罩檔案的數(shù)據(jù)量可高達(dá) 2.5TB,所以另一個(gè)挑戰(zhàn)是如何實(shí)時(shí)的將大量數(shù)據(jù)通過(guò)圖形傳輸系統(tǒng),再通過(guò)8,000組以上光纖通道平行輸出到E-Beam機(jī)臺(tái)。此數(shù)據(jù)經(jīng)系統(tǒng)處理后,可用于控制E-Beam 系統(tǒng)上的電子束控制數(shù)組。為滿足這些需求,凌華科技采用基于AXIe系統(tǒng)的FPGA架構(gòu)解決方案進(jìn)行數(shù)據(jù)處理及儲(chǔ)存。

AXIe的優(yōu)點(diǎn)

E-Beam 系統(tǒng)的硬件設(shè)計(jì)可確保通道間的時(shí)鐘偏移最大不超過(guò) 2ns。自外部同步信號(hào)產(chǎn)生器開始,低偏移扇形輸出緩沖器(fan-out bufer)即用于外部同步信號(hào)產(chǎn)生器之中,做為將工作頻率及同步信號(hào)分配到各機(jī)箱切換模塊的用途。另外,切換模塊除提供PCIe總線自動(dòng)切換功能外,也負(fù)責(zé)切換 STRIG、SYNC及相關(guān)頻率信號(hào),將這些同步信號(hào)分配到各插槽上的數(shù)據(jù)傳輸模塊。在數(shù)據(jù)傳輸模塊方面,除特別注意各頻率及數(shù)據(jù)信號(hào)在PCB上布線都須使用相等路徑長(zhǎng)度外,在電路輸出部分也都采用低偏移緩沖器。最后處理過(guò)的數(shù)據(jù)會(huì)由Avago 平行光纖發(fā)射器 (AFB-810BHZ-TX) 輸出。綜合考慮 FPGA 內(nèi)部繞線及制程、光纖、連接器及 PCB 路徑等因素后,計(jì)算所得的總體通道間時(shí)鐘偏移可小于 1ns 以下。

除了跨 10 個(gè)機(jī)箱下嚴(yán)格的通道間歪斜的要求之外,系統(tǒng)還要求能夠?qū)崟r(shí)傳輸大量數(shù)據(jù)到光纖輸出通道。各圖形傳輸模塊配備四組高性能的FPGA;一顆負(fù)責(zé)PCIe驅(qū)動(dòng)接口,另外三顆各負(fù)責(zé) 24 個(gè)光纖通道的驅(qū)動(dòng)接口,即單一數(shù)據(jù)傳輸模塊可提供 72個(gè)光纖輸出通道。

集成電路圖案數(shù)據(jù)先自 RAID 磁盤陣列讀出后加載主板刀鋒服務(wù)器的內(nèi)存,再經(jīng)由PCIe 總線做直接內(nèi)存存?。―MA, direct memory access)傳輸?shù)絺€(gè)別的數(shù)據(jù)傳輸模塊。數(shù)據(jù)傳輸模塊上的 PCIe FPGA 接收 DMA 數(shù)據(jù)并存入模塊上的閃存,然后再傳輸?shù)礁鲌D形傳輸 FPGA 對(duì)應(yīng)的 DDR3 內(nèi)存儲(chǔ)存。圖形傳輸 FPGA 內(nèi)建有客戶自定的解壓縮算法,解壓縮后的數(shù)據(jù)會(huì)通過(guò)光學(xué)發(fā)射器做同步數(shù)據(jù)輸出。示意圖請(qǐng)參見圖 5。

其中DDR3 內(nèi)存切割為兩個(gè)區(qū)塊,以便實(shí)現(xiàn)「乒乓(ping-pong)技術(shù),也就是可讓大量數(shù)據(jù)同時(shí)間進(jìn)出內(nèi)存以優(yōu)化讀/寫帶寬。各光纖輸出通道的圖形檔案大小可達(dá) 300MB,換句話說(shuō),一個(gè)插滿12張數(shù)據(jù)傳輸模塊的機(jī)箱總共會(huì)需約260GB的檔案大小。

第2篇:高性能集成電路的概念范文

預(yù)計(jì)在未來(lái)10到20年,微電子器件抗輻射加固的重點(diǎn)發(fā)展技術(shù)是:抗輻射加固新技術(shù)和新方法研究;新材料和先進(jìn)器件結(jié)構(gòu)輻射效應(yīng);多器件相互作用模型和模擬研究;理解和研究復(fù)雜3-D結(jié)構(gòu)、系統(tǒng)封裝的抗輻射加固;開發(fā)能夠降低測(cè)試要求的先進(jìn)模擬技術(shù);開發(fā)應(yīng)用加固設(shè)計(jì)的各種技術(shù)。本文分析研究了微電子器件抗輻射加固設(shè)計(jì)技術(shù)和工藝制造技術(shù)的發(fā)展態(tài)勢(shì)。

2輻射效應(yīng)和損傷機(jī)理研究

微電子器件中的數(shù)字和模擬集成電路的輻射效應(yīng)一般分為總劑量效應(yīng)(TID)、單粒子效應(yīng)(SEE)和劑量率(DoesRate)效應(yīng)??倓┝啃?yīng)源于由γ光子、質(zhì)子和中子照射所引發(fā)的氧化層電荷陷阱或位移破壞,包括漏電流增加、MOSFET閾值漂移,以及雙極晶體管的增益衰減。SEE是由輻射環(huán)境中的高能粒子(質(zhì)子、中子、α粒子和其他重離子)轟擊微電子電路的敏感區(qū)引發(fā)的。在p-n結(jié)兩端產(chǎn)生電荷的單粒子效應(yīng),可引發(fā)軟誤差、電路閉鎖或元件燒毀。SEE中的單粒子翻轉(zhuǎn)(SEU)會(huì)導(dǎo)致電路節(jié)點(diǎn)的邏輯狀態(tài)發(fā)生翻轉(zhuǎn)。劑量率效應(yīng)是由甚高速率的γ或X射線,在極短時(shí)間內(nèi)作用于電路,并在整個(gè)電路內(nèi)產(chǎn)生光電流引發(fā)的,可導(dǎo)致閉鎖、燒毀和軌電壓坍塌等破壞[1]。輻射效應(yīng)和損傷機(jī)理研究是抗輻射加固技術(shù)的基礎(chǔ),航空航天應(yīng)用的SiGe,InP,集成光電子等高速高性能新型器件的輻射效應(yīng)和損傷機(jī)理是研究重點(diǎn)。研究新型器件的輻射效應(yīng)和損傷機(jī)理的重要作用是:1)對(duì)新的微電子技術(shù)和光電子技術(shù)進(jìn)行分析評(píng)價(jià),推動(dòng)其應(yīng)用到航空航天等任務(wù)中;2)研究輻射環(huán)境應(yīng)用技術(shù)的指導(dǎo)方法學(xué);3)研究抗輻射保證問(wèn)題,以增加系統(tǒng)可靠性,減少成本,簡(jiǎn)化供應(yīng)渠道。研究的目的是保證帶寬/速度不斷提升的微電子和光(如光纖數(shù)據(jù)鏈接)電子電路在輻射環(huán)境中可靠地工作。圖1所示為輻射效應(yīng)和損傷機(jī)理的重點(diǎn)研究對(duì)象。研究領(lǐng)域可分為:1)新微電子器件輻射效應(yīng)和損傷機(jī)理;2)先進(jìn)微電子技術(shù)輻射評(píng)估;3)航空航天抗輻射保障;4)光電子器件的輻射效應(yīng)和損傷機(jī)理;5)輻射測(cè)試、放射量測(cè)定及相關(guān)問(wèn)題;6)飛行工程和異常數(shù)據(jù)分析;7)提供及時(shí)的前期工程支持;8)航空輻射效應(yīng)評(píng)估;9)輻射數(shù)據(jù)維護(hù)和傳送。

3抗輻射加固設(shè)計(jì)技術(shù)

3.1抗輻射加固系統(tǒng)設(shè)計(jì)方法

開展抗輻射加固設(shè)計(jì)需要一個(gè)完整的設(shè)計(jì)和驗(yàn)證體系,包括技術(shù)支持開發(fā)、建立空間環(huán)境模型及環(huán)境監(jiān)視系統(tǒng)、具備系統(tǒng)設(shè)計(jì)概念和在軌實(shí)驗(yàn)的數(shù)據(jù)庫(kù)等。圖2所示為空間抗輻射加固設(shè)計(jì)的驗(yàn)證體系。本文討論的設(shè)計(jì)技術(shù)范圍主要是關(guān)于系統(tǒng)、結(jié)構(gòu)、電路、器件級(jí)的設(shè)計(jì)技術(shù)??梢酝ㄟ^(guò)圖2所示設(shè)計(jì)體系進(jìn)行抗輻射加固設(shè)計(jì):1)采用多級(jí)別冗余的方法減輕輻射破壞,這些級(jí)別分為元件級(jí)、板級(jí)、系統(tǒng)級(jí)和飛行器級(jí)。2)采用冗余或加倍結(jié)構(gòu)元件(如三模塊冗余)的邏輯電路設(shè)計(jì)方法,即投票電路根據(jù)最少兩位的投票確定輸出邏輯。3)采用電路設(shè)計(jì)和版圖設(shè)計(jì)以減輕電離輻射破壞的方法。即采用隔離、補(bǔ)償或校正、去耦等電路技術(shù),以及摻雜阱和隔離槽芯片布局設(shè)計(jì);4)加入誤差檢測(cè)和校正電路,或者自修復(fù)和自重構(gòu)功能;5)器件間距和去耦。這些加固設(shè)計(jì)器件可以采用專用工藝,也可采用標(biāo)準(zhǔn)工藝制造。

3.2加固模擬/混合信號(hào)IP技術(shù)

最近的發(fā)展趨勢(shì)表明,為了提高衛(wèi)星的智能水平和降低成本,推動(dòng)了模擬和混合信號(hào)IP需求不斷增加[2]??馆椛浼庸棠MIP的數(shù)量也不斷增加。其混合信號(hào)IP也是相似的,在高、低壓中均有應(yīng)用,只是需在不同的代工廠加工。比利時(shí)IMEC,ICsense等公司在設(shè)計(jì)抗輻射加固方案中提供了大量的模擬IP內(nèi)容。模擬IP包括抗輻射加固的PLL和A/D轉(zhuǎn)換器模塊,正逐步向軟件控制型混合信號(hào)SoCASIC方向發(fā)展。該抗輻射加固庫(kù)基于XFab公司180nm工藝,與臺(tái)積電180nm設(shè)計(jì)加固IP庫(kù)參數(shù)相當(dāng)。TID加固水平可以達(dá)到1kGy,并且對(duì)單粒子閉鎖和漏電流增加都可以進(jìn)行有效加固。

3.3SiGe加固設(shè)計(jì)技術(shù)

SiGeHBT晶體管在空間應(yīng)用并作模擬器件時(shí),對(duì)總劑量輻射效應(yīng)具有較為充分和固有的魯棒性,具備大部分空間應(yīng)用(如衛(wèi)星)所要求的總劑量和位移效應(yīng)的耐受能力[3]。目前,SiGeBiCMOS設(shè)計(jì)加固的熱點(diǎn)主要集中在數(shù)字邏輯電路上。SEE/SEU會(huì)對(duì)SiGeHBT數(shù)字邏輯電路造成較大破壞。因此,這方面的抗加設(shè)計(jì)技術(shù)發(fā)展較快。對(duì)先進(jìn)SiGeBiCMOS工藝的邏輯電路進(jìn)行SEE/SEU加固時(shí),在器件級(jí),可采用特殊的C-B-ESiGeHBT器件、反模級(jí)聯(lián)結(jié)構(gòu)器件、適當(dāng)?shù)陌鎴D結(jié)構(gòu)設(shè)計(jì)等來(lái)進(jìn)行SEE/SEU加固。在電路級(jí),可使用雙交替、柵反饋和三模冗余等方法進(jìn)行加固設(shè)計(jì)。三模冗余法除了在電路級(jí)上應(yīng)用外,還可作為一種系統(tǒng)級(jí)加固方法使用。各種抗輻射設(shè)計(jì)獲得的加固效果各不相同。例如,移相器使用器件級(jí)和電路級(jí)并用的加固設(shè)計(jì)方案,經(jīng)過(guò)LET值為75MeV•cm2/mg的重粒子試驗(yàn)和標(biāo)準(zhǔn)位誤差試驗(yàn)后,結(jié)果顯示,該移相器整體抗SEU能力得到有效提高,對(duì)SEU具有明顯的免疫力。

4抗輻射加固工藝技術(shù)

目前,加固專用工藝線仍然是戰(zhàn)略級(jí)加固的強(qiáng)有力工具,將來(lái)會(huì)越來(lái)越多地與加固設(shè)計(jì)結(jié)合使用。因?yàn)榭馆椛浼庸坦に嚰夹g(shù)具有非常高的專業(yè)化屬性和高復(fù)雜性,因此只有少數(shù)幾個(gè)廠家能夠掌握該項(xiàng)技術(shù)。例如,單粒子加固的SOI工藝和SOS工藝,總劑量加固的小幾何尺寸CMOS工藝,IBM的45nmSOI工藝,Honeywe1l的50nm工藝,以及BAE外延CMOS工藝等。主要的抗輻射加固產(chǎn)品供應(yīng)商之一Atmel于2006年左右達(dá)到0.18μm技術(shù)節(jié)點(diǎn),上一期的工藝節(jié)點(diǎn)為3μm。Atmel的RTCMOS,RTPCMOS,RHCMOS抗輻射加固專用工藝不需改變?cè)O(shè)計(jì)和版圖,只用工藝加固即可制造出滿足抗輻射要求的軍用集成電路。0.18μm是Atmel當(dāng)前主要的抗輻射加固工藝,目前正在開發(fā)0.15μm技術(shù),下一步將發(fā)展90nm和65nm工藝。Atmel采用0.18μm專用工藝制造的IC有加固ASIC、加固通信IC、加固FPGA、加固存儲(chǔ)器、加固處理器等,如圖3所示。

5重點(diǎn)發(fā)展技術(shù)態(tài)勢(shì)

5.1美國(guó)的抗輻射加固技術(shù)

5.1.1加固設(shè)計(jì)重點(diǎn)技術(shù)

美國(guó)商務(wù)部2009年國(guó)防工業(yè)評(píng)估報(bào)告《美國(guó)集成電路設(shè)計(jì)和制造能力》,詳細(xì)地研究了美國(guó)抗輻射加固設(shè)計(jì)和制造能力[4]。擁有抗輻射加固制造能力的美國(guó)廠商同時(shí)擁有抗單粒子效應(yīng)、輻射容錯(cuò)、抗輻射加固和中子加固的設(shè)計(jì)能力。其中,擁有抗單粒子效應(yīng)能力的18家、輻射容錯(cuò)14家、輻射加固10家,中子加固9家。IDM公司是抗輻射加固設(shè)計(jì)的主力軍,2006年就已達(dá)到從10μm到65nm的15個(gè)技術(shù)節(jié)點(diǎn)的產(chǎn)品設(shè)計(jì)能力。15家公司具備10μm~1μm的設(shè)計(jì)能力,22家公司具備1μm~250nm的設(shè)計(jì)能力,24家公司具備250nm~65nm設(shè)計(jì)能力,7家公司的技術(shù)節(jié)點(diǎn)在65nm以下,如圖5所示。純?cè)O(shè)計(jì)公司的抗輻射加固設(shè)計(jì)能力較弱。美國(guó)IDM在設(shè)計(jì)抗輻射產(chǎn)品時(shí)所用的材料包括體硅、SOI,SiGe等Si標(biāo)準(zhǔn)材料,和藍(lán)寶石上硅、SiC,GaN,GaAs,InP,銻化物、非結(jié)晶硅等非標(biāo)準(zhǔn)材料兩大類。標(biāo)準(zhǔn)材料中使用體硅的有23家,使用SOI的有13家,使用SiGe的有10家。使用非標(biāo)準(zhǔn)材料的公司數(shù)量在明顯下降。非標(biāo)材料中,GaN是熱點(diǎn),有7家公司(4個(gè)小規(guī)模公司和3個(gè)中等規(guī)模公司)在開發(fā)。SiC則最弱,只有兩家中小公司在研發(fā)。沒有大制造公司從事非標(biāo)材料的開發(fā)。

5.1.2重點(diǎn)工藝和制造能力

美國(guó)有51家公司從事輻射容錯(cuò)、輻射加固、中子加固、單粒子瞬態(tài)加固IC產(chǎn)品研制。其中抗單粒子效應(yīng)16家,輻射容錯(cuò)15家,抗輻射加固12家,中子加固8家。制造公司加固IC工藝節(jié)點(diǎn)從10μm到32nm。使用的材料有標(biāo)準(zhǔn)Si材料和非標(biāo)準(zhǔn)兩大類。前一類有體硅、SOI和SiGe,非標(biāo)準(zhǔn)材料則包括藍(lán)寶石上硅,SiC,GaN,GaAs,InP,銻化物和非晶硅(amorphous)。晶圓的尺寸有50,100,150,200,300mm這幾類??馆椛浼庸坍a(chǎn)品制造可分為專用集成電路(ASIC)、柵陣列、存儲(chǔ)器和其他產(chǎn)品。ASIC制造能力最為強(qiáng)大,定制ASIC的廠商達(dá)到21家,標(biāo)準(zhǔn)ASIC達(dá)到13家,結(jié)構(gòu)化ASIC有12家。柵陣列有:現(xiàn)場(chǎng)可編程陣列(FPGA)、掩膜現(xiàn)場(chǎng)可編程陣列(MPGA)、一次性現(xiàn)場(chǎng)可編程陣列(EPGA),共19家。RF/模擬/混合信號(hào)IC制造商達(dá)到18家,制造處理器/協(xié)處理器有11家。5.1.3RF和混合信號(hào)SiGeBiCMOS據(jù)美國(guó)航空航天局(NASA),SiGe技術(shù)發(fā)展的下一目標(biāo)是深空極端環(huán)境應(yīng)用的技術(shù)和產(chǎn)品,例如月球表面應(yīng)用。這主要包括抗多種輻射和輻射免疫能力。例如,器件在+120℃~-180℃溫度范圍內(nèi)正常工作的能力。具有更多的SiGe模擬/混合信號(hào)產(chǎn)品,微波/毫米波混合信號(hào)集成電路。系統(tǒng)能夠取消各種屏蔽和專用電纜,以減小重量和體積。德國(guó)IHP公司為空間應(yīng)用提供高性能的250nmSiGeBiCMOS工藝SGB25RH[5],其工作頻率達(dá)到20GHz。包括專用抗輻射加固庫(kù)輻射試驗(yàn)、ASIC開發(fā)和可用IP。采用SGB13RH加固的130nmSiGeBiCMOS工藝可達(dá)到250GHz/300GHz的ft/fmax。采用該技術(shù),可實(shí)現(xiàn)SiGeBiCMOS抗輻射加固庫(kù)。

5.2混合信號(hào)的抗輻射加固設(shè)計(jì)技術(shù)

如果半導(dǎo)體發(fā)展趨勢(shì)不發(fā)生變化,則當(dāng)IC特征尺寸向90nm及更小尺寸發(fā)展時(shí),混合信號(hào)加固設(shè)計(jì)技術(shù)的重要性就會(huì)增加[6]。設(shè)計(jì)加固可以使用商用工藝,與特征尺寸落后于商用工藝的專用工藝相比,能夠在更小的芯片面積上提高IC速度和優(yōu)化IC性能。此外,設(shè)計(jì)加固能夠幫助設(shè)計(jì)者擴(kuò)大減小單粒子效應(yīng)的可選技術(shù)范圍。在20~30年長(zhǎng)的時(shí)期內(nèi),加固設(shè)計(jì)方法學(xué)的未來(lái)并不十分清晰。最終數(shù)字元件將縮小到分子或原子的尺度。單個(gè)的質(zhì)子、中子或粒子碰撞導(dǎo)致的后果可能不是退化,而是整個(gè)晶體管或子電路毀壞。除了引入新的屏蔽和/或封裝技術(shù),一些復(fù)雜數(shù)字電路還需要具備一些動(dòng)態(tài)的自修復(fù)和自重構(gòu)功能。此外,提高產(chǎn)量和防止工作失效的力量或許會(huì)推動(dòng)商用制造商在解決這些問(wèn)題方面起到引領(lǐng)的作用。當(dāng)前,沒有跡象表明模擬和RF電路會(huì)最終使用與數(shù)字電路相同的元件和工藝。因此,加固混合信號(hào)電路設(shè)計(jì)者需要在模擬和數(shù)字兩個(gè)完全不同的方向開展工作,即需要同時(shí)使用兩種基本不同的IC技術(shù),并應(yīng)用兩種基本不同的加固設(shè)計(jì)方法。

6結(jié)束語(yǔ)

第3篇:高性能集成電路的概念范文

所謂EDA技術(shù)是在電子CAD技術(shù)基礎(chǔ)上發(fā)展起來(lái)的計(jì)算機(jī)軟件系統(tǒng)。它是以計(jì)算機(jī)為工作平臺(tái),以硬件描述語(yǔ)言為系統(tǒng)邏輯描述的主要表達(dá)方式,以EDA工具軟件為開發(fā)環(huán)境,以大規(guī)模可編程邏輯器件PLD(ProgrammableLogicDevice)為設(shè)計(jì)載體,以專用集成電路ASIC(ApplicationSpecificIntegratedCircuit)、單片電子系統(tǒng)SOC(SystemOnaChip)芯片為目標(biāo)器件,以電子系統(tǒng)設(shè)計(jì)為應(yīng)用方向的電子產(chǎn)品自動(dòng)化設(shè)計(jì)過(guò)程[J]。在此過(guò)程中,設(shè)計(jì)者只需利用硬件描述語(yǔ)言HDL(HardwareDescriptionlanguage),在EDA工具軟件中完成對(duì)系統(tǒng)硬件功能的描述,EDA工具便會(huì)自動(dòng)完成邏輯編譯、化簡(jiǎn)、分割、綜合、優(yōu)化、布局、布線和仿真,直至特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作,最終形成集成電子系統(tǒng)或?qū)S眉尚酒?。盡管目標(biāo)系統(tǒng)是硬件,但整個(gè)設(shè)計(jì)和修改過(guò)程如同完成軟件設(shè)計(jì)一樣方便和高效。

現(xiàn)代EDA技術(shù)的基本特征是采用高級(jí)語(yǔ)言描述,具有系統(tǒng)級(jí)仿真和綜合能力。EDA技術(shù)研究的對(duì)象是電子設(shè)計(jì)的全過(guò)程,有系統(tǒng)級(jí)、電路級(jí)和物理級(jí)各個(gè)層次的設(shè)計(jì)。EDA技術(shù)研究的范疇相當(dāng)廣泛,從ASIC開發(fā)與應(yīng)用角度看,包含以下子模塊:設(shè)計(jì)輸入子模塊、設(shè)計(jì)數(shù)據(jù)庫(kù)子模塊、分析驗(yàn)證子模塊、綜合仿真子模塊和布局布線子模塊等。EDA主要采用并行工程和“自頂向下”的設(shè)計(jì)方法,然后從系統(tǒng)設(shè)計(jì)入手,在頂層進(jìn)行功能方框圖的劃分和結(jié)構(gòu)設(shè)計(jì),在方框圖一級(jí)進(jìn)行仿真、糾錯(cuò),并用VHDL等硬件描述語(yǔ)言對(duì)高層次的系統(tǒng)行為進(jìn)行描述,在系統(tǒng)一級(jí)進(jìn)行驗(yàn)證,最后再用邏輯綜合優(yōu)化工具生成具體的門級(jí)邏輯電路的網(wǎng)表,其對(duì)應(yīng)的物理實(shí)現(xiàn)級(jí)可以是印刷電路板或?qū)S眉呻娐贰?/p>

二、EDA技術(shù)的發(fā)展

EDA技術(shù)的發(fā)展至今經(jīng)歷了三個(gè)階段:電子線路的CAD是EDA發(fā)展的初級(jí)階段,是高級(jí)EDA系統(tǒng)的重要組成部分。它利用計(jì)算機(jī)的圖形編輯、分析和存儲(chǔ)等能力,協(xié)助工程師設(shè)計(jì)電子系統(tǒng)的電路圖、印制電路板和集成電路板圖。它可以減少設(shè)計(jì)人員的繁瑣重復(fù)勞動(dòng),但自動(dòng)化程度低,需要人工干預(yù)整個(gè)設(shè)計(jì)過(guò)程。

EDA技術(shù)中級(jí)階段已具備了設(shè)計(jì)自動(dòng)化的功能。其主要特征是具備了自動(dòng)布局布線和電路的計(jì)算機(jī)仿真、分析和驗(yàn)證功能。其作用已不僅僅是輔助設(shè)計(jì),而且可以代替人進(jìn)行某種思維。

高級(jí)EDA階段,又稱為ESDA(電子系統(tǒng)設(shè)計(jì)自動(dòng)化)系統(tǒng)。過(guò)去傳統(tǒng)的電子系統(tǒng)電子產(chǎn)品的設(shè)計(jì)方法是采用自底而上(Bottom-UP)的程式,設(shè)計(jì)者先對(duì)系統(tǒng)結(jié)構(gòu)分塊,直接進(jìn)行電路級(jí)的設(shè)計(jì)。EDA技術(shù)高級(jí)階段采用一種新的設(shè)計(jì)概念:自頂而下(TOP-Down)的設(shè)計(jì)程式和并行工程(ConcurrentEngineering)的設(shè)計(jì)方法,設(shè)計(jì)者的精力主要集中在所設(shè)計(jì)電子產(chǎn)品的準(zhǔn)確定義上,EDA系統(tǒng)去完成電子產(chǎn)品的系統(tǒng)級(jí)至物理級(jí)的設(shè)計(jì)。此階段EDA技術(shù)的主要特征是支持高級(jí)語(yǔ)言對(duì)系統(tǒng)進(jìn)行描述??蛇M(jìn)行系統(tǒng)級(jí)的仿真和綜合。

三、基于EDA技術(shù)的電子系統(tǒng)設(shè)計(jì)方法

1.電子系統(tǒng)電路級(jí)設(shè)計(jì)

首先確定設(shè)計(jì)方案,同時(shí)要選擇能實(shí)現(xiàn)該方案的合適元器件,然后根據(jù)具體的元器件設(shè)計(jì)電路原理圖。接著進(jìn)行第一次仿真,包括數(shù)字電路的邏輯模擬、故障分析、模擬電路的交直流分析和瞬態(tài)分析。系統(tǒng)在進(jìn)行仿真時(shí),必須要有元件模型庫(kù)的支持,計(jì)算機(jī)上模擬的輸入輸出波形代替了實(shí)際電路調(diào)試中的信號(hào)源和示波器。這一次仿真主要是檢驗(yàn)設(shè)計(jì)方案在功能方面的正確性。仿真通過(guò)后,根據(jù)原理圖產(chǎn)生的電氣連接網(wǎng)絡(luò)表進(jìn)行PCB板的自動(dòng)布局布線。在制作PCB板之前還可以進(jìn)行后分析,包括熱分析、噪聲及竄擾分析、電磁兼容分析和可靠性分析等,并且可以將分析后的結(jié)果參數(shù)反標(biāo)回電路圖,進(jìn)行第二次仿真,也稱為后仿真,這一次仿真主要是檢驗(yàn)PCB板在實(shí)際工作環(huán)境中的可行性。

可見,電路級(jí)的EDA技術(shù)使電子工程師在實(shí)際的電子系統(tǒng)產(chǎn)生之前,就可以全面了解系統(tǒng)的功能特性和物理特性,從而將開發(fā)過(guò)程中出現(xiàn)的缺陷消滅在設(shè)計(jì)階段,不僅縮短了開發(fā)時(shí)間,也降低了開發(fā)成本。2.系統(tǒng)級(jí)設(shè)計(jì)

系統(tǒng)級(jí)設(shè)計(jì)是一種“概念驅(qū)動(dòng)式”設(shè)計(jì),設(shè)計(jì)人員無(wú)須通過(guò)門級(jí)原理圖描述電路,而是針對(duì)設(shè)計(jì)目標(biāo)進(jìn)行功能描述。由于擺脫了電路細(xì)節(jié)的束縛,設(shè)計(jì)人員可以把精力集中于創(chuàng)造性概念構(gòu)思與方案上,一旦這些概念構(gòu)思以高層次描述的形式輸入計(jì)算機(jī)后,EDA系統(tǒng)就能以規(guī)則驅(qū)動(dòng)的方式自動(dòng)完成整個(gè)設(shè)計(jì)。

系統(tǒng)級(jí)設(shè)計(jì)的步驟如下:

第一步:按照“自頂向下”的設(shè)計(jì)方法進(jìn)行系統(tǒng)劃分。

第二步:輸入VHDL代碼,這是系統(tǒng)級(jí)設(shè)計(jì)中最為普遍的輸入方式。此外,還可以采用圖形輸入方式(框圖、狀態(tài)圖等),這種輸入方式具有直觀、容易理解的優(yōu)點(diǎn)。

第三步:將以上的設(shè)計(jì)輸入編譯成標(biāo)準(zhǔn)的VHDL文件。對(duì)于大型設(shè)計(jì),還要進(jìn)行代碼級(jí)的功能仿真,主要是檢驗(yàn)系統(tǒng)功能設(shè)計(jì)的正確性,因?yàn)閷?duì)于大型設(shè)計(jì),綜合、適配要花費(fèi)數(shù)小時(shí),在綜合前對(duì)源代碼仿真,就可以大大減少設(shè)計(jì)重復(fù)的次數(shù)和時(shí)間,一般情況下,可略去這一仿真步驟。

第四步:利用綜合器對(duì)VHDL源代碼進(jìn)行綜合優(yōu)化處理,生成門級(jí)描述的網(wǎng)表文件,這是將高層次描述轉(zhuǎn)化為硬件電路的關(guān)鍵步驟。綜合優(yōu)化是針對(duì)ASIC芯片供應(yīng)商的某一產(chǎn)品系列進(jìn)行的,所以綜合的過(guò)程要在相應(yīng)的廠家綜合庫(kù)支持下才能完成。綜合后,可利用產(chǎn)生的網(wǎng)表文件進(jìn)行適配前的時(shí)序仿真,仿真過(guò)程不涉及具體器件的硬件特性,較為粗略。一般設(shè)計(jì),這一仿真步驟也可略去。

第五步:利用適配器將綜合后的網(wǎng)表文件針對(duì)某一具體的目標(biāo)器件進(jìn)行邏輯映射操作,包括底層器件配置、邏輯分割、邏輯優(yōu)化和布局布線。

第六步:將適配器產(chǎn)生的器件編程文件通過(guò)編程器或下載電纜載入到目標(biāo)芯片F(xiàn)PGA或CPLD中。如果是大批量產(chǎn)品開發(fā),通過(guò)更換相應(yīng)的廠家綜合庫(kù),可以很容易轉(zhuǎn)由ASIC形式實(shí)現(xiàn)。

四、前景展望

21世紀(jì)將是EDA技術(shù)的高速發(fā)展時(shí)期,EDA技術(shù)是現(xiàn)代電子設(shè)計(jì)技術(shù)的發(fā)展方向,并著眼于數(shù)字邏輯向模擬電路和數(shù)?;旌想娐返姆较虬l(fā)展。EDA將會(huì)超越電子設(shè)計(jì)的范疇進(jìn)入其他領(lǐng)域隨著集成電路技術(shù)的高速發(fā)展,數(shù)字系統(tǒng)正朝著更高集成度、超小型化、高性能、高可靠性和低功耗的系統(tǒng)級(jí)芯片(SoC,SystemonChip)方向發(fā)展,借助于硬件描述語(yǔ)言的國(guó)際標(biāo)準(zhǔn)VHDL和強(qiáng)大的EDA工具,可減少設(shè)計(jì)風(fēng)險(xiǎn)并縮短周期,隨著VHDL語(yǔ)言使用范圍的日益擴(kuò)大,必將給硬件設(shè)計(jì)領(lǐng)域帶來(lái)巨大的變革。

[摘要]本文從EDA技術(shù)的定義及構(gòu)成出發(fā),系統(tǒng)介紹了EDA技術(shù)的發(fā)展概況,以及基于EDA技術(shù)的電子系統(tǒng)設(shè)計(jì)的方法和步驟,快速實(shí)現(xiàn)系統(tǒng)數(shù)字集成,具有深刻的理論意義和實(shí)際應(yīng)用價(jià)值。

[關(guān)鍵詞]EDA技術(shù)電子系統(tǒng)仿真

二十世紀(jì)后半期,隨著集成電路和計(jì)算機(jī)的不斷發(fā)展,電子技術(shù)面臨著嚴(yán)峻的挑戰(zhàn)。由于電子技術(shù)發(fā)展周期不斷縮短,專用集成電路(ASIC)的設(shè)計(jì)面臨著難度不斷提高與設(shè)計(jì)周期不斷縮短的矛盾。為了解決這個(gè)問(wèn)題,要求我們必須采用新的設(shè)計(jì)方法和使用高層次的設(shè)計(jì)工具。在此情況下,EDA(ElectronicDesignAutomation即電子設(shè)計(jì)自動(dòng)化)技術(shù)應(yīng)運(yùn)而生。隨著電子技術(shù)的發(fā)展及縮短電子系統(tǒng)設(shè)計(jì)周期的要求,EDA技術(shù)得到了迅猛發(fā)展。

參考文獻(xiàn):

[1]譚會(huì)生,張昌凡.EDA技術(shù)及應(yīng)用[M].西安:西安電子科技大學(xué)出版社,2001.

第4篇:高性能集成電路的概念范文

一、發(fā)展現(xiàn)狀

(一)國(guó)內(nèi)外物聯(lián)網(wǎng)產(chǎn)業(yè)發(fā)展態(tài)勢(shì)。隨著現(xiàn)代通信技術(shù)、計(jì)算機(jī)信息技術(shù)和傳感技術(shù)的廣泛應(yīng)用,物聯(lián)網(wǎng)相關(guān)產(chǎn)業(yè)得到了快速發(fā)展。國(guó)際電信聯(lián)盟在XX年度的互聯(lián)網(wǎng)報(bào)告中,首先提出“物聯(lián)網(wǎng)”概念并預(yù)言“無(wú)所不在的物聯(lián)網(wǎng)通信時(shí)代即將到來(lái)”。美國(guó)把“寬帶網(wǎng)絡(luò)等新興技術(shù)”確定為振興經(jīng)濟(jì)和保持全球競(jìng)爭(zhēng)優(yōu)勢(shì)的關(guān)鍵戰(zhàn)略;歐盟了下一代全歐移動(dòng)寬帶長(zhǎng)期演進(jìn)與ict(信息與通訊技術(shù))創(chuàng)新戰(zhàn)略,組織制定并著手實(shí)施物聯(lián)網(wǎng)行動(dòng)計(jì)劃;日本提出“泛在網(wǎng)”國(guó)家戰(zhàn)略,重點(diǎn)推進(jìn)物聯(lián)網(wǎng)產(chǎn)業(yè)的技術(shù)創(chuàng)新;韓國(guó)出臺(tái)了《物聯(lián)網(wǎng)基礎(chǔ)設(shè)施構(gòu)建基本規(guī)劃》。澳大利亞、新加坡、法國(guó)、德國(guó)等國(guó)家也提出,在加快部署下一代網(wǎng)絡(luò)基礎(chǔ)設(shè)施時(shí),重點(diǎn)推進(jìn)物聯(lián)網(wǎng)應(yīng)用和物聯(lián)網(wǎng)產(chǎn)業(yè)發(fā)展。

我國(guó)物聯(lián)網(wǎng)產(chǎn)業(yè)起步較早,與歐美發(fā)達(dá)國(guó)家處于同一起跑線,是當(dāng)前制定物聯(lián)網(wǎng)國(guó)際標(biāo)準(zhǔn)的主導(dǎo)國(guó)之一。在國(guó)家重大科技專項(xiàng)、國(guó)家自然科學(xué)基金和“863”計(jì)劃的支持下,國(guó)內(nèi)新一代寬帶無(wú)線通信、高性能計(jì)算與大規(guī)模并行處理技術(shù)、光子和微電子器件與集成系統(tǒng)技術(shù)、傳感網(wǎng)技術(shù)、物聯(lián)網(wǎng)體系架構(gòu)及其演進(jìn)技術(shù)等研究與開發(fā)取得重大進(jìn)展,先后建立了傳感技術(shù)國(guó)家重點(diǎn)實(shí)驗(yàn)室、傳感器網(wǎng)絡(luò)實(shí)驗(yàn)室、傳感器產(chǎn)業(yè)基地等一批專業(yè)研究機(jī)構(gòu)和產(chǎn)業(yè)化基地,開展了一批具有示范意義的重大應(yīng)用項(xiàng)目。目前,北京、上海、江蘇、浙江、無(wú)錫、深圳等地都在開展物聯(lián)網(wǎng)發(fā)展戰(zhàn)略研究,制定物聯(lián)網(wǎng)產(chǎn)業(yè)發(fā)展規(guī)劃,出臺(tái)扶持產(chǎn)業(yè)發(fā)展的相關(guān)優(yōu)惠政策。從全國(guó)來(lái)看,物聯(lián)網(wǎng)產(chǎn)業(yè)正在逐步成為各地戰(zhàn)略性新興產(chǎn)業(yè)發(fā)展的重要領(lǐng)域。

(二)我市物聯(lián)網(wǎng)產(chǎn)業(yè)發(fā)展基礎(chǔ)。

1.產(chǎn)業(yè)基礎(chǔ)。xx是國(guó)家電子元器件制造和信息產(chǎn)品生產(chǎn)基地,也是國(guó)家集成電路設(shè)計(jì)產(chǎn)業(yè)化、信息安全成果產(chǎn)業(yè)化和軟件及服務(wù)外包產(chǎn)業(yè)基地。2011年,全市電子信息產(chǎn)業(yè)實(shí)現(xiàn)增加值550億元,占地區(qū)生產(chǎn)總值12.21%。其中,軟件與信息服務(wù)業(yè)主營(yíng)業(yè)務(wù)收入占全市電子信息產(chǎn)業(yè)的53%,在嵌入式軟件、中間件軟件、集成電路設(shè)計(jì)和系統(tǒng)集成等領(lǐng)域處于西部領(lǐng)先地位;rfid(射頻識(shí)別)產(chǎn)業(yè)已具備芯片設(shè)計(jì)與封裝、讀寫器產(chǎn)品制造和應(yīng)用系統(tǒng)集成等研發(fā)生產(chǎn)能力,2011年產(chǎn)品銷售收入占全國(guó)市場(chǎng)10%;電子科大紅外成像傳感系統(tǒng)、川大智勝視頻處理和模式識(shí)別系統(tǒng)、國(guó)騰集團(tuán)mems(微電子機(jī)械系統(tǒng))慣性器件和衛(wèi)星導(dǎo)航定位終端、和芯微電子數(shù)?;旌蟟p核(知識(shí)產(chǎn)權(quán)核心)和編解碼器芯片等在國(guó)內(nèi)視頻識(shí)別與定位跟蹤行業(yè)領(lǐng)域處于領(lǐng)先水平。此外,千嘉科技在光電直讀式遠(yuǎn)程數(shù)據(jù)系統(tǒng)方面、安可信電子在智能型氣體檢測(cè)設(shè)備制造等方面處于行業(yè)領(lǐng)先水平。

第5篇:高性能集成電路的概念范文

關(guān)鍵詞:EDA;數(shù)字系統(tǒng);VHDLEDA技術(shù)

就是以計(jì)算機(jī)為工具,通過(guò)有關(guān)的開發(fā)軟件,用VHDL硬件描述語(yǔ)言完成設(shè)計(jì),自動(dòng)完成編譯、分割、布局和仿真等工作,用軟件完成設(shè)計(jì)電子系統(tǒng)到硬件系統(tǒng)的一門技術(shù)。

1電子設(shè)計(jì)自動(dòng)化技術(shù)的內(nèi)容及特點(diǎn)

1.1電子設(shè)計(jì)自動(dòng)化技術(shù)

電子設(shè)計(jì)自動(dòng)化(ElectronicsDesignAutomation,EDA)是一門實(shí)現(xiàn)電子系統(tǒng)或電子產(chǎn)品自動(dòng)設(shè)計(jì)的技術(shù)。EDA吸收了計(jì)算機(jī)科學(xué)領(lǐng)域的最新研究成果,以高性能的電子計(jì)算機(jī)作為工作的平臺(tái),促進(jìn)電子工程的發(fā)展。所以說(shuō),EDA是電子產(chǎn)品和系統(tǒng)設(shè)計(jì)的綜合技術(shù),也是每個(gè)電子工程師都應(yīng)該了解和掌握的一門技術(shù)。EDA是在20世紀(jì)60年代中期從計(jì)算機(jī)輔助設(shè)計(jì)(CAD)、計(jì)算機(jī)輔助制造(CAM)、計(jì)算機(jī)輔助測(cè)試(CAT)和計(jì)算機(jī)輔助工程(CAE)的概念發(fā)展而來(lái)的,用硬件描述語(yǔ)言VHDL完成設(shè)計(jì)文件,然后由計(jì)算機(jī)自動(dòng)地完成邏輯編譯、化簡(jiǎn)、分割、綜合、優(yōu)化、布局、布線和仿真,直至對(duì)于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。

1.2EDA技術(shù)的基本特征

EDA技術(shù)是指以計(jì)算機(jī)為工作平臺(tái),利用EDA工具,電子設(shè)計(jì)師可以從概念、算法、協(xié)議等開始設(shè)計(jì)電子系統(tǒng),大量工作可以通過(guò)計(jì)算機(jī)自動(dòng)處理完成。EDA技術(shù)的基本特征:按照“自頂向下”(Top-Down)全新設(shè)計(jì)方法,對(duì)系統(tǒng)進(jìn)行結(jié)構(gòu)設(shè)計(jì)和功能劃分,系統(tǒng)的關(guān)鍵電路是用印刷電路板或者專用集成電路來(lái)實(shí)現(xiàn)的,然后采用硬件描述語(yǔ)言(HDL)對(duì)系統(tǒng)硬件進(jìn)行功能的實(shí)現(xiàn),最后用綜合優(yōu)化工具生成最終的理想器件。以下介紹相關(guān)的幾個(gè)方面。1.2.1“自頂向下”的設(shè)計(jì)方法很長(zhǎng)一段時(shí)間里,電子設(shè)計(jì)的思路基本就是“自底向上”的設(shè)計(jì)方法,這種設(shè)計(jì)方法就好像一塊塊大石頭堆建起來(lái)的瓦房,不僅效率低、成本高,而且還非常容易出錯(cuò),缺點(diǎn)顯而易見。于是,人們發(fā)明了如今所用的一種全新的設(shè)計(jì)方法“自頂向下”,這種設(shè)計(jì)方法首先是系統(tǒng)設(shè)計(jì),在頂層進(jìn)行功能方框圖的劃分和結(jié)構(gòu)的設(shè)計(jì)。自頂向下的設(shè)計(jì)方法使系統(tǒng)被分解為各個(gè)模塊的集合之后,可以對(duì)設(shè)計(jì)的每個(gè)獨(dú)立模塊指派不同的工作小組,這些小組可以工作在不同的地點(diǎn),甚至可以分屬不同的單位,最后將不同的模塊集成為最終的系統(tǒng)模型,并對(duì)其進(jìn)行綜合測(cè)試和評(píng)價(jià)。它較先前的“自頂向上”無(wú)論是在設(shè)計(jì)的時(shí)間上,還是過(guò)程中錯(cuò)誤的減少,都得到了很大的提升。1.2.2ASIC設(shè)計(jì)集成電路(ApplicationSpecificIntegratedCircuit,ASIC),在集成電路界被認(rèn)為是一種為專門目的而設(shè)計(jì)的集成電路。利用EDA技術(shù)進(jìn)行電子系統(tǒng)設(shè)計(jì)的最后目標(biāo)是完成專用集成電路ASIC的設(shè)計(jì)與實(shí)現(xiàn)。ASIC分為全定制和半定制,全制定是基于晶體管設(shè)計(jì)方法,設(shè)計(jì)成本高,周期長(zhǎng);而半定制則是一種約束性的設(shè)計(jì)方法,其設(shè)計(jì)簡(jiǎn)化,周期短,提高了芯片的成品率;和通用的集成電路相比,ASIC的體積更小、功耗更低、性能的提升也相當(dāng)高;從保密性來(lái)講,其保密性還是相當(dāng)高的,而且它還具有成本節(jié)約等優(yōu)點(diǎn)??删幊藺SIC是專用集成電路的一種,也是應(yīng)用最為廣泛的??删幊踢壿嬈骷拿芏雀摺⒓啥雀?、生產(chǎn)方便。1.2.3硬件描述語(yǔ)言硬件描述語(yǔ)言(HardwareDescriptionLanguage,HDL)是一種用形式化的方法來(lái)描述數(shù)字電路和系統(tǒng)的語(yǔ)言,它是EDA開發(fā)中的很重要的設(shè)計(jì)工具,也是EDA技術(shù)的重要組成部分。HDL是對(duì)電子系統(tǒng)硬件設(shè)計(jì)的一種高級(jí)計(jì)算機(jī)語(yǔ)言,用HDL語(yǔ)言,數(shù)字電路系統(tǒng)的設(shè)計(jì)可以逐層展示自己的設(shè)計(jì)思路,一些復(fù)雜的數(shù)字電路系統(tǒng)可以用一系列分層次的模塊來(lái)表達(dá)。早期的硬件描述語(yǔ)言,由不同的廠商和開發(fā)商開發(fā),彼此之間互不兼容,且不支持多層次的設(shè)計(jì),這些層次之間的翻譯工作就要由人工完成。而利用VHDL語(yǔ)言的可讀性強(qiáng),更加容易修改和發(fā)現(xiàn)錯(cuò)誤。VHDL即超高速集成電路硬件描述語(yǔ)言,它作為IEEE標(biāo)準(zhǔn)的硬件描述語(yǔ)言和EDA的重要組成部分,經(jīng)過(guò)十幾年的發(fā)展、應(yīng)用和完善,正逐漸被眾多設(shè)計(jì)者所接受,這種高層次的方法已經(jīng)被廣泛采用。VHDL即超高速集成電路硬件描述語(yǔ)言,是一種面向設(shè)計(jì)的多領(lǐng)域、多層次的全方位的硬件描述語(yǔ)言,這種語(yǔ)言幾乎覆蓋了以往各種硬件描述語(yǔ)言的功能。VHDL具有以下幾個(gè)優(yōu)點(diǎn):(1)強(qiáng)大的硬件描述能力??梢杂脕?lái)描述系統(tǒng)級(jí)電路,也可以用來(lái)描述門級(jí)電路,設(shè)計(jì)描述具有多層次。(2)支持廣泛、易于修改。VHDL已經(jīng)成為IEEE標(biāo)準(zhǔn),目前,多數(shù)EDA工具都支持VHDL語(yǔ)言,這種高層次的方法已經(jīng)被廣泛采用。(3)作用強(qiáng)大、設(shè)計(jì)靈活。它具有作用強(qiáng)大的語(yǔ)言結(jié)構(gòu),能用簡(jiǎn)潔明了的源代碼來(lái)描述復(fù)雜的邏輯控制。(4)移植能力強(qiáng)。它是一種標(biāo)準(zhǔn)化的硬件描述預(yù)言,同樣一個(gè)設(shè)計(jì)描述可是被多種不同的工具所支持,這樣就使得設(shè)計(jì)描述的移植得以實(shí)現(xiàn)。(5)工藝轉(zhuǎn)換方便。它的設(shè)計(jì)不依賴于特定的器件,工藝轉(zhuǎn)換方便。

2、EDA技術(shù)的應(yīng)用

現(xiàn)如今,EDA技術(shù)發(fā)展迅速,已經(jīng)在教學(xué)應(yīng)用、科研應(yīng)用、產(chǎn)品設(shè)計(jì)與制造等方面占據(jù)一席之地,發(fā)揮著巨大的作用。

2.1教學(xué)應(yīng)用

大部分理工科院系都開設(shè)EDA課程。讓學(xué)生在校期間了解EDA技術(shù)的基本原理、HDL硬件描述語(yǔ)言描述系統(tǒng)邏輯的方法,模擬仿真電子電路設(shè)計(jì),通過(guò)實(shí)踐提升學(xué)生的動(dòng)手與自主能力,為今后從事的工作打下堅(jiān)實(shí)的基礎(chǔ)。

2.2科研應(yīng)用

電路設(shè)計(jì)與模擬仿真主要使用EWB等工具進(jìn)行,舉個(gè)例子,在CDMA無(wú)線通信系統(tǒng)中,移動(dòng)手機(jī)和無(wú)線基站都工作在相同的頻率,每部手機(jī)都有自己唯一的序列碼,用來(lái)區(qū)分電話的呼叫。而CDMA的BTS必須能識(shí)別這些不同的碼序列才能辨別傳呼進(jìn)程,這是通過(guò)在輸入數(shù)據(jù)流中探測(cè)到特定的碼序列來(lái)完成的。

2.3產(chǎn)品設(shè)計(jì)與制造的應(yīng)用

從電視、冰箱、音響到電子玩具等各種電子產(chǎn)品電路,EDA技術(shù)在模擬研制、仿真、生產(chǎn)、調(diào)試等方面都有著重要的作用??梢哉f(shuō),EDA已經(jīng)成為電子工業(yè)領(lǐng)域必不可少的技術(shù)支持。

3、EDA技術(shù)的發(fā)展前景

當(dāng)今社會(huì),電子產(chǎn)品發(fā)展日新月異,為了既快又好地設(shè)計(jì)出新的電子產(chǎn)品,提高設(shè)計(jì)效率和產(chǎn)品性能,設(shè)計(jì)師需要更加簡(jiǎn)便快捷的EDA工具,這對(duì)EDA技術(shù)提出了更高的要求。

3.1EDA技術(shù)發(fā)展的新方向

3.1.1向高密度、高速度、寬頻帶方向發(fā)展設(shè)計(jì)方法的更新得益于電子器件的發(fā)展,隨著電子產(chǎn)品的飛速發(fā)展,高密度、高速度和寬頻帶的可編程邏輯產(chǎn)品已經(jīng)成為主流的,這些高密度、大容量的可編程邏輯器件的出現(xiàn),給現(xiàn)代電子系統(tǒng)(復(fù)雜系統(tǒng))的設(shè)計(jì)與實(shí)現(xiàn)帶來(lái)了非常大的幫助。設(shè)計(jì)方法和設(shè)計(jì)效率有了新的飛躍,帶來(lái)了器件的巨大需求,這種需求又促使器件生產(chǎn)工藝的不斷進(jìn)步,而每一次工藝的改進(jìn),可編程邏輯器件的規(guī)模都將有非常大擴(kuò)展。3.1.2向可預(yù)測(cè)延時(shí)的方向發(fā)展現(xiàn)如今的大數(shù)據(jù)時(shí)代,需要處理的數(shù)據(jù)量越來(lái)越大,就需要其具有大的數(shù)據(jù)吞吐量,而且多媒體技術(shù)發(fā)展迅速,圖像及影像的實(shí)時(shí)性要求較高,這就需要有高速的硬件系統(tǒng)。為了可以保證圖像實(shí)時(shí)性及穩(wěn)定性,器件的延時(shí)可預(yù)測(cè)性就是一個(gè)重要的因素。所以,邏輯器件的可預(yù)測(cè)延時(shí)是非常重要的。3.1.3向低電壓、低能耗方向發(fā)展集成技術(shù)的飛速發(fā)展,工藝水平的日益提升,全世界都掀起了節(jié)能的潮流。因此,要適應(yīng)時(shí)代的潮流,半導(dǎo)體工業(yè)也必須向低電壓、降低能耗方向發(fā)展。

3.2應(yīng)用前景

在信息通信領(lǐng)域中,需要優(yōu)先發(fā)展高速寬帶信息網(wǎng)、計(jì)算機(jī)及軟件技術(shù)、第三代移動(dòng)通信技術(shù),積極開拓以數(shù)字技術(shù)、網(wǎng)絡(luò)技術(shù)為基礎(chǔ)的新一代信息產(chǎn)品,研發(fā)新興的產(chǎn)業(yè)。自動(dòng)化儀表的技術(shù)發(fā)展趨勢(shì)將計(jì)算機(jī)技術(shù)、通信技術(shù)進(jìn)一步的融合,大力地推廣信息化。在電子設(shè)計(jì)的研發(fā)中,它可以代替設(shè)計(jì)者完成電子系統(tǒng)設(shè)計(jì)中的絕大部分工作,而且可以直接在程序中修改錯(cuò)誤,系統(tǒng)功能也不需要硬件電路的支持。隨著EDA技術(shù)的發(fā)展,EDA技術(shù)具有更好的開發(fā)手段和性價(jià)比,具有廣泛的市場(chǎng)應(yīng)用前景。

3.3未來(lái)展望

從目前的EDA技術(shù)來(lái)看,其發(fā)展趨勢(shì)是使用普及、應(yīng)用廣泛、工具多樣、軟件功能強(qiáng)大。中國(guó)EDA市場(chǎng)已經(jīng)日趨成熟,但是大部分的設(shè)計(jì)是面向PCB制板和ASIC領(lǐng)域,只有小部分的設(shè)計(jì)是開發(fā)復(fù)雜的片上系統(tǒng)器件。EDA技術(shù)將在自動(dòng)化儀表的測(cè)試技術(shù)、控制技術(shù)、計(jì)算技術(shù)等方面有較大的突破,在ASIC和PLD設(shè)計(jì)方面,以高速、高密度、低能耗、低電壓等方面發(fā)展。

4、結(jié)語(yǔ)

EDA技術(shù)的應(yīng)用十分廣泛,現(xiàn)在已涉及電子、通信、機(jī)械、航天、醫(yī)學(xué)、生物、軍事等各個(gè)領(lǐng)域。所以無(wú)論是生活、學(xué)習(xí)、還是工作,都離不開EDA。因此,作為一名大專院校電子類專業(yè)的學(xué)生,我們應(yīng)該熟練掌握EDA技術(shù)用于CPLD/FPGA的開發(fā)和知曉EDA技術(shù)在未來(lái)發(fā)展的前景,只有這樣才能去適應(yīng)激烈競(jìng)爭(zhēng)的環(huán)境,在激烈的競(jìng)爭(zhēng)環(huán)境中取得成績(jī)。

[參考文獻(xiàn)]

[1]杜玉遠(yuǎn).EDA設(shè)計(jì)快速入門[J].電子世界,2004(1):24-25.

第6篇:高性能集成電路的概念范文

關(guān)鍵詞:多核,光互連,集成光電子器件

A Study of Optical Interconnects Technology

in Multi-core Architectures

Hui Li,Huaxi Gu

( State Key Lab of ISN, Xidian University , Xi’an 710071, China)

Abstract: The development of integrated technology enables more and more cores to be incorporated into a single chip. Multi-core archtictures will be the main thrust driving the evolution of the chip design. Interconnects play a significant role in chip design. Traditional on-chip electrical interconnects face hard challenges in bandwidth, latency, power consumption and scalability. Optical interconnects can be a solution, increasing communication bandwidth and decreasing latency. This paper summarizes the development of current integrated opto-electrical components related to optical interconnects on chip, and studies a typical muli-core architecture with optical interconnects. The network structure, nodes architecture and process of communication are analyzed in details. Finally, the results show that optical interconnects will be the efficient approach of multi-core architectures in the future.

Key Words: Multi-core, Optical Interconnects, Integrated Opto-electrical Component

1引言

隨著半導(dǎo)體工藝的不斷發(fā)展,集成電路的工藝技術(shù)步入了納米階段。電子元器件尺寸的減小,使得在單一芯片上集成上億個(gè)晶體管即將成為現(xiàn)實(shí)。但是,現(xiàn)有晶體管技術(shù)使繼續(xù)提高單個(gè)處理器核的性能受到了限制,而且當(dāng)一個(gè)單核芯片運(yùn)行多個(gè)程序時(shí),可能會(huì)引起沖突、錯(cuò)誤或降低速度。因此,如果按單核的思路繼續(xù)發(fā)展,芯片設(shè)計(jì)將面臨互連延遲、存儲(chǔ)帶寬、功耗極限等性能提升的瓶頸問(wèn)題。

基于以上原因,提出了一種新型的芯片體系架構(gòu),即單芯片多處理器核。該架構(gòu)用多個(gè)低頻率核產(chǎn)生超過(guò)高頻率單核的處理效能,以適應(yīng)性能提升、功耗減小的通信需求。在不久的將來(lái),片上處理器核的數(shù)目將會(huì)急速地增長(zhǎng),可能會(huì)發(fā)展到在一個(gè)芯片上放置上百個(gè)甚至是上千個(gè)核[1, 2],通過(guò)在核之間分配任務(wù),線程應(yīng)用能夠充分利用多個(gè)執(zhí)行內(nèi)核,并可在特定的時(shí)間內(nèi)執(zhí)行更多任務(wù),與單核相比,可以大大提高性能和能量效率[3]。由于多核芯片的核集成在一起,這些核就可以共享結(jié)構(gòu)器件,因而比要運(yùn)行多個(gè)單核芯片的系統(tǒng)節(jié)省元器件和成本。同時(shí),核與核之間的信號(hào)傳輸比多個(gè)單核芯片的系統(tǒng)更快,功耗也更低[4]。再者,出于門延時(shí)、全局連線延時(shí)和設(shè)計(jì)成本等方面的考慮,目前單芯片多核已經(jīng)成為處理器體系結(jié)構(gòu)發(fā)展的一個(gè)重要趨勢(shì)。

在多核技術(shù)發(fā)展過(guò)程中,首先提出的一種片上互連方式是傳統(tǒng)總線方式,對(duì)應(yīng)的互連網(wǎng)絡(luò)稱為SoC(System on Chip)。它是多核技術(shù)的擴(kuò)展,通過(guò)多總線及層次化總線等技術(shù)使得片上集成更多的處理器核,從而實(shí)現(xiàn)高復(fù)雜度和高性能。但是,隨著SoC 中所包含的IP 核數(shù)目增至成百上千的時(shí)候,現(xiàn)有的以總線結(jié)構(gòu)為通信基礎(chǔ)的SoC 技術(shù)面臨著在性能、功耗、延時(shí)和可靠性等方面的巨大挑戰(zhàn)[5, 6]。主要表現(xiàn)在:通信帶寬受限,全局同步困難,可重用性差,結(jié)構(gòu)擴(kuò)展難。總之,傳統(tǒng)的總線架構(gòu),由于設(shè)計(jì)方便、硬件消耗少、成本低,它仍然是中小規(guī)模的多處理器系統(tǒng)的經(jīng)典方案,但是總線結(jié)構(gòu)暴露出的相當(dāng)多的技術(shù)問(wèn)題,使其并不適合較大規(guī)模的片上多處理器系統(tǒng)或通信要求很高的應(yīng)用。

為了解決SoC設(shè)計(jì)中的瓶頸問(wèn)題,借鑒計(jì)算機(jī)網(wǎng)絡(luò)領(lǐng)域的相關(guān)概念提出了一種新的互連網(wǎng)絡(luò)―NoC(Network on Chip)[7, 8],主要是用計(jì)算機(jī)的網(wǎng)絡(luò)思想解決芯片設(shè)計(jì)中遇到的通信難題。NoC采用基于分組交換的方法和分層方法來(lái)替代原來(lái)的傳統(tǒng)總線,實(shí)現(xiàn)了處理單元 (IP核) 與通信結(jié)構(gòu)(網(wǎng)絡(luò))的分離。NoC 區(qū)別于SoC的網(wǎng)絡(luò)連線提供了良好的并行通信能力,從而使得通信帶寬增加幾個(gè)數(shù)量級(jí);采用全局異步局部同步(Globally Asynchronous Locally Synchronous ,GALS) 的通信機(jī)制,很好地解決了全局同步所帶來(lái)的問(wèn)題;通信和計(jì)算完全分離的技術(shù),大大提升了可重用性和復(fù)雜系統(tǒng)的設(shè)計(jì)效率;網(wǎng)絡(luò)拓?fù)浣Y(jié)構(gòu)提供了良好的可擴(kuò)展性,設(shè)計(jì)新系統(tǒng)時(shí),只需在原系統(tǒng)上添加路由部件和功能部件即可,大大加快了設(shè)計(jì)的進(jìn)度??偟膩?lái)說(shuō),基于分組路由方式的NoC具有高吞吐、低時(shí)延、低能耗等優(yōu)點(diǎn)。

隨著芯片技術(shù)的進(jìn)一步發(fā)展,由于材料引起的高阻抗(高阻抗會(huì)引起全局范圍內(nèi)很大的傳輸時(shí)延和較大的能耗),可靠性不高和高電流密度時(shí)的高電磁敏感性[9],傳統(tǒng)的片上電連接在數(shù)據(jù)傳輸速度和能耗方面逐漸表現(xiàn)出局限性,所以,提出了光連接,與傳統(tǒng)電連接相比,它具有高帶寬密度、低傳輸時(shí)延、低能耗和干擾小等優(yōu)勢(shì),可以解決電連接所面臨的通信問(wèn)題。用光連接代替電連接的NoC網(wǎng)絡(luò)就稱為光NoC。由于最近幾年光器件的很好的發(fā)展,光網(wǎng)絡(luò)的研究也有了很大的進(jìn)步,已提出的幾個(gè)運(yùn)用光技術(shù)的網(wǎng)絡(luò)有:Clos結(jié)構(gòu)[10],firefly[11],HP的Corona[12]和Columbia的光網(wǎng)絡(luò)[13]。

本文先簡(jiǎn)要敘述了多核技術(shù)的發(fā)展和光互連的提出,后就技術(shù)發(fā)展過(guò)程中相關(guān)集成光電子器件的作用及發(fā)展進(jìn)行概括性的介紹,在此基礎(chǔ)上,詳細(xì)分析多核光互連的一個(gè)實(shí)例。

2集成光電子器件及發(fā)展

在最近幾年內(nèi),CMOS的光器件[14, 15]有了很大的進(jìn)步,更進(jìn)一步促使光連接取代電連接而成為未來(lái)主流的片上連接方式。目前,片上光連接最有效的方法就是在SOI芯片上集成光器件來(lái)實(shí)現(xiàn)連接,同時(shí)可使CMOS層和光層之間連接充分。圖1給出了片上光互連的整體結(jié)構(gòu),下文將逐一分析各個(gè)部分。

2.1 激光源

由于尺寸、能耗、成本等原因,激光源不做在片內(nèi),一般是片外的。片外激光源產(chǎn)生光后,通過(guò)光纖將光傳輸?shù)焦鈻篷詈掀骰蛘呷⑼哥R來(lái)有效地與芯片進(jìn)行耦合,進(jìn)而輸入到芯片上。

2004年,美國(guó)UCLA的Henry Samueli工程和應(yīng)用科學(xué)學(xué)校了第一個(gè)能利用拉曼放大效應(yīng)的硅激光器。2006年9月,英特爾公司與美國(guó)加州大學(xué)圣芭芭拉分校聯(lián)合展示了世界上第一個(gè)采用硅標(biāo)準(zhǔn)工藝制造的混合硅激光器。

2.2 發(fā)射器

發(fā)射器由光調(diào)制器和電驅(qū)動(dòng)電路組成。光調(diào)制器一般用微環(huán)諧振器來(lái)實(shí)現(xiàn)。環(huán)的半徑和溫度等參數(shù)共同決定要調(diào)制的特定波長(zhǎng),通過(guò)注入電荷來(lái)改變環(huán)的折射率或光路徑的吸收系數(shù),使得要調(diào)制的特定波長(zhǎng)的光可以進(jìn)出微環(huán)諧振器,這樣就實(shí)現(xiàn)了對(duì)光的調(diào)制,即光調(diào)制器將電信號(hào)調(diào)制到特定的波長(zhǎng)上,完成了電信號(hào)到光信號(hào)的轉(zhuǎn)換。為進(jìn)一步提高帶寬密度,各節(jié)點(diǎn)可以通過(guò)不同的微環(huán)諧振器將電信號(hào)分別調(diào)制到不同的波長(zhǎng)上,然后在同一根波導(dǎo)中互不干擾地傳輸,即所謂的波分復(fù)用技術(shù)(WDM)。當(dāng)前最流行的調(diào)制器有Mach-Zehnder基于干涉儀的硅調(diào)制器和基于微環(huán)諧振器的P-I-N二極管類型調(diào)制器。而電驅(qū)動(dòng)電路就是負(fù)責(zé)在電邏輯單元控制下,產(chǎn)生數(shù)字電信號(hào)0和1。

2007年7月,Intel公司實(shí)現(xiàn)了40 Gbps硅光調(diào)制器, 2008年5月該公司實(shí)現(xiàn)了200 Gb/s傳送速度的光外部調(diào)制器,就在同年的1月份,IBM公司宣布已成功研發(fā)出如硅芯片般微小的電光調(diào)制器,大小僅100μm,傳輸率卻達(dá)10 Gbps。

2.3 光波導(dǎo)

光波導(dǎo)負(fù)責(zé)將調(diào)制后的光信號(hào)從調(diào)制器傳輸?shù)侥康亩说慕邮掌?為提高光連接的帶寬密度,可以使用波分復(fù)用技術(shù)在同一個(gè)波導(dǎo)的不同波長(zhǎng)上傳輸數(shù)據(jù)。波導(dǎo)材料的選擇很重要,因?yàn)槠鋵?duì)帶寬、時(shí)延和光連接面積有很大影響。目前,硅和聚合物是首選材料,在兩者之間作選擇要考慮到傳輸速度和帶寬的折中,因?yàn)檫@兩種材料各有優(yōu)勢(shì)。聚合物由于折射率較小,這種波導(dǎo)允許有較高的傳輸速度,但這種波導(dǎo)有個(gè)缺陷就是要求有較大的間距,這又會(huì)減小帶寬密度。一般,硅的損耗為1.3 dB/cm,而聚合物的損耗為1 dB/cm。

現(xiàn)如今,IBM提出的集成光聚合物波導(dǎo)技術(shù)預(yù)計(jì)傳輸損耗將達(dá)到0.05 dB/cm。德國(guó)Karlsruhe大學(xué)、比利時(shí)大學(xué)等研究機(jī)構(gòu)制造了一種創(chuàng)新型的光波導(dǎo)結(jié)構(gòu)―硅-有機(jī)雜化物(silicon-organichybrid,SOH)構(gòu)成的波導(dǎo)。迄今為止,硅波導(dǎo)的信號(hào)傳輸速率極限是40 Gbit/s,而SOH 波導(dǎo)速率超過(guò)了100 Gbit/s。

2.4 接收器

接收器由光檢測(cè)器和TIA(跨阻抗放大器)組成。在接收端先通過(guò)一個(gè)光濾波器,從波導(dǎo)中提取特定波長(zhǎng)的光,并將其傳輸?shù)焦鈾z測(cè)器,經(jīng)光檢測(cè)器將光信號(hào)轉(zhuǎn)換為模擬電流輸出,即實(shí)現(xiàn)了光到電的轉(zhuǎn)換,在目前的技術(shù)條件下,光檢測(cè)器的輸出信號(hào)必須送入TIA,將電流放大并轉(zhuǎn)換為數(shù)字電壓的0和1,后經(jīng)由電邏輯單元處理。從22 nm節(jié)點(diǎn)技術(shù)開始,晶體管越來(lái)越小的輸入電容允許光檢測(cè)器輸出信號(hào)不需要TIA放大,這就大大減小了功率的消耗。

2007年3月,IBM的研究人員在OFC會(huì)議上展示了160 Gbit/s的16通道CMOS光收發(fā)器。2008年,Intel宣布了一項(xiàng)在硅光電技術(shù)上的研究成果――硅基光電雪崩探測(cè)器,它的時(shí)鐘頻率為340 GHz,數(shù)據(jù)傳輸速度達(dá)到40 Gb/s。NANO公司在OFC/NFOEC 2009展會(huì)的技術(shù)會(huì)議上發(fā)表了最新研制的新型的高性能10 Gb/s鍺/硅雪崩光電探測(cè)器(Ge/Si APD)。

3多核光互連的實(shí)例分析

本節(jié)給出片上多核光互連的一個(gè)實(shí)例―Phastlane[16],并對(duì)其進(jìn)行分析。Phastlane最終目標(biāo)是在16 nm工藝下,設(shè)計(jì)出高速緩存一致的多核處理器,將擁有成百上千個(gè)核和一個(gè)使用多個(gè)片上內(nèi)存控制器且高度交織的主存。

整體而言,Phastlane是由光路由器節(jié)點(diǎn)構(gòu)成的一個(gè)二維片上mesh結(jié)構(gòu),本節(jié)以64個(gè)節(jié)點(diǎn)組成的結(jié)構(gòu)為例。圖2(a)顯示了其中一個(gè)節(jié)點(diǎn)的構(gòu)成。節(jié)點(diǎn)由2層構(gòu)成,一層為光層,實(shí)現(xiàn)分組在光上的路由,一層為電層,包括處理器核、電緩存和內(nèi)存控制器。為了清楚,在光的層面上僅顯示了輸入波導(dǎo)、輸出波導(dǎo)及電路的一部分。在路由器東南西北四個(gè)方向的輸入端口都有一個(gè)微環(huán)諧振器/接收器,負(fù)責(zé)接收目的地是本節(jié)點(diǎn)或被阻塞的分組。每個(gè)輸出端口的發(fā)送器/調(diào)制器負(fù)責(zé)發(fā)送在本地節(jié)點(diǎn)緩存或是在輸入端口緩存的分組。路由器內(nèi)部的微環(huán)諧振器實(shí)現(xiàn)進(jìn)入路由器的分組的轉(zhuǎn)向操作,即左轉(zhuǎn)或右轉(zhuǎn)。路由器之間采用12根波導(dǎo)相連,如圖2(a),其中C0,C1兩根波導(dǎo)負(fù)責(zé)傳輸路由器控制比特,D0-D9十根波導(dǎo)均采用64路波分復(fù)用技術(shù),負(fù)責(zé)傳輸除路由器控制比特之外的分組信息。

如圖2(b)所示,假設(shè)S要與D通信,首先源節(jié)點(diǎn)S通過(guò)XY路由算法預(yù)先計(jì)算好路徑,因?yàn)橐?jīng)過(guò)13個(gè)中間路由器到達(dá)D,那么除控制13個(gè)中間路由器外,還要有在目的節(jié)點(diǎn)D中的控制信息,共需14組控制比特??刂票忍赜煽刂品纸M在各路由器中進(jìn)行直走,左轉(zhuǎn),右轉(zhuǎn),本地,多播五種操作的比特構(gòu)成。前三個(gè)比特分別路由分組到對(duì)應(yīng)的三個(gè)輸出端口,本地比特表明路由器是否要為本地節(jié)點(diǎn)接收該分組,多播比特表明是多播操作,分組在路由器中的路由就通過(guò)設(shè)置對(duì)應(yīng)的控制比特實(shí)現(xiàn)。每個(gè)路由器對(duì)應(yīng)5比特的控制信息,劃為一個(gè)Group,所有的控制比特平均并交叉地分配于C0和C1中傳輸。在C0波導(dǎo)中,波長(zhǎng)為的組1控制信息對(duì)應(yīng)于路徑上第一個(gè)路由器,即R1的五個(gè)控制比特。當(dāng)分組從S到達(dá)R1的E端口,并且沒有其他端口的分組對(duì)輸出端口W競(jìng)爭(zhēng)時(shí),具體通信過(guò)程將如下進(jìn)行:所有C0中的比特會(huì)被C0波導(dǎo)E端口的微環(huán)諧振器/接收器接收,對(duì)應(yīng)R1的Group1控制比特被轉(zhuǎn)換并檢測(cè)到直走比特已被設(shè)置,分組進(jìn)入路由器沿直線從相應(yīng)端口輸出,同時(shí),被轉(zhuǎn)換并檢測(cè)到的直走比特會(huì)被暫時(shí)存儲(chǔ)在本地路由器R1中,以防在下一時(shí)鐘周期分組丟失,要通過(guò)使用過(guò)的路由控制信息建立一條丟棄信號(hào)的返回路徑到達(dá)源端。在圖2(a)中,光層四個(gè)角上的大箭頭代表返回路徑的輸入端口和輸出端口,與分組穿過(guò)路由器的方向相反。分組到達(dá)R2,R3,R4,R5,R6時(shí),與上述過(guò)程相同。當(dāng)分組到達(dá)R7,檢測(cè)到右轉(zhuǎn)比特設(shè)置,并由解碼之后的右轉(zhuǎn)比特控制路由器中對(duì)應(yīng)的微環(huán)諧振器諧振,在分組進(jìn)入路由器后實(shí)現(xiàn)分組的右轉(zhuǎn)。分組在R8,R9,R10,R11,R12,R13中的路由過(guò)程與R1中相同。最后分組到達(dá)目的地D,檢測(cè)到本地比特設(shè)置,接收轉(zhuǎn)換后的本地比特激活D0-D9和C1的微環(huán)諧振器/接收器接收整個(gè)分組,至此,源S到目的地D的通信過(guò)程結(jié)束。

如果在路由器中兩分組要輸出到同一端口,此時(shí)就要使用固定優(yōu)先權(quán)仲裁,即通過(guò)預(yù)先約定的固定優(yōu)先權(quán)決定哪個(gè)端口的分組先占有輸出端口N。對(duì)于競(jìng)爭(zhēng)失敗的分組,路由器先通過(guò)輸入端口的微環(huán)諧振器/接收器接收,后將光信號(hào)轉(zhuǎn)換為電信號(hào),送入路由器端口的輸入緩存中進(jìn)行緩存,并擔(dān)負(fù)起再次發(fā)送該分組的任務(wù)。相對(duì)于新到的分組,緩存的分組對(duì)于輸出端口擁有較高的優(yōu)先權(quán)。而對(duì)于電緩存中分組對(duì)輸出端口的競(jìng)爭(zhēng),可以通過(guò)輪詢優(yōu)先權(quán)仲裁解決。

如果路由器的電緩存已滿,那么被阻塞的分組會(huì)被當(dāng)前路由器丟棄,下一時(shí)鐘周期,在返回路徑的輸出端口發(fā)送一個(gè)分組丟棄的信號(hào)和該路由器的ID號(hào),通知源端分組丟棄的信息。源端收到信息后,采取相應(yīng)措施,如退避、重發(fā)。

以上是單播的通信過(guò)程描述,在Phastlane中若要進(jìn)行多播或是廣播,可以通過(guò)設(shè)置分組中的多播控制比特來(lái)實(shí)現(xiàn)。

通過(guò)以上分析,可以得出Phastlane具有以下特點(diǎn):①由于使用了光路由器結(jié)構(gòu)和簡(jiǎn)單的源維序路由算法,降低了路由時(shí)延,實(shí)現(xiàn)了高速傳輸;②鑒于光緩存實(shí)現(xiàn)困難,采用電緩存解決競(jìng)爭(zhēng)失敗問(wèn)題;③為了降低實(shí)現(xiàn)和控制的復(fù)雜度,在緩存不可用時(shí)只是簡(jiǎn)單地將分組丟棄。

4總結(jié)

隨著集成電路技術(shù)的發(fā)展,多核系統(tǒng)會(huì)達(dá)到成百上千個(gè)核,全局連接將成為性能改善的主要瓶頸,于是,高性能、低能耗的片上光連接應(yīng)運(yùn)而生。多核之間的光互連消耗較少的片上能量卻可以提供高帶寬的應(yīng)用,是一種很有前景的連接方式,不少光網(wǎng)絡(luò)的提出就證明了這一點(diǎn)。同時(shí),光器件的研究與發(fā)展也將促使相關(guān)光網(wǎng)絡(luò)的實(shí)現(xiàn)成為可能。

參考文獻(xiàn)

[1] Borkar, S. Thousand Core Chips―A Technology Perspective. in DAC. USA: ACM. 2007.

[2] Horowitz, M. and W. Dally. How scaling will change processor architecture. in Solid-State Circuits Conference,Digest of Technical Papers. ISSCC. 2004 IEEE International. 2004.

[3] Agarwal, A. and M. Levy. The KILL Rule for Multicore. in Design Automation Conference, 2007. DAC '07. 44th ACM/IEEE. 2007.

[4] Geer, D., Chip Makers Turn to Multicore Processors. (Industry Trends).2005.

[5] Dally, W.J. and B. Towles. Route packets, not wires: on-chip interconnection networks. in Design Automation Conference. 2001.

[6] Hemani, A., A. Jantsch, S. Kumar, A. Postula, J. Oberg, M. Millberg, and D. Lindqvist. Network on a Chip: An architecture for billion transistor era. in Proc.IEEE NorChip Conference. 2000.

[7] Benini, L. and G. De Micheli, Networks on chips: a new SoC paradigm. Computer,35(1): p. 70-78.2002.

[8] Jantsch, A. and H. Tenhunen, Networks on Chip.Kluwer Academic Publishers. 2003,

[9] Srivastava, N. and K. Banerjee. A Comparative Scaling Analysis of Metallic and Carbon Nanotube Interconnections for Nanometer Scale VLSI Technologies. in the 21st International VLSI Multilevel Interconnect Conference(VMIC). 2004.

[10] Joshi, A., C. Batten, K. Yong-Jin, S. Beamer, I. Shamim, K. Asanovic, and V. Stojanovic. Silicon-photonic clos networks for global on-chip communication. in 3rd ACM/IEEE International Symposium on Networks-on-Chip. 2009.

[11] Pan, Y., P. Kumar, J. Kim, G. Memik, Y. Zhang, and A. Choudhary. Firefly: Illuminating Future Network-on-Chip with Nanophotonics. in ISCA. Austin,Texas,USA: ACM. 2009.

[12] Vantrease, D., R. Schreiber, M. Monchiero, M. McLaren, N.P. Jouppi, M. Fiorentino, A. Davis, N. Binkert, R.G. Beausoleil, and J.H. Ahn. Corona: System Implications of Emerging Nanophotonic Technology. in 35th International Symposium on Computer Architecture,ISCA '08. 2008.

[13] Shacham, A., K. Bergman, and L.P. Carloni. On the Design of a Photonic Network-on-Chip. in First International Symposium on Networks-on-Chip. 2007.

[14] Woodward, T.K. and A.V. Krishnamoorthy, 1-Gb/s Integrated Optical Detectors and Receivers in Commercial CMOS Technologies. IEEE journal of selected topics in quantum electronics,Vol.5,No.2.1999.

第7篇:高性能集成電路的概念范文

柔性電子技術(shù)

(Conformal Electronics Technology)

眾所周知,傳統(tǒng)的集成電路都必須在二維空間內(nèi)布局,且具有堅(jiān)硬、易折斷的特點(diǎn)。這也正是大部分電子產(chǎn)品都必須平板方正的原因。有機(jī)物和印刷材料制造的可伸縮元件雖然解決了剛性的問(wèn)題,但在變化速度上、準(zhǔn)確率和性能上都不能承擔(dān)過(guò)于復(fù)雜、嚴(yán)密的工作。

經(jīng)過(guò)幾年的理論建模以及對(duì)構(gòu)造和傳輸過(guò)程的反復(fù)調(diào)試,來(lái)自伊利諾伊大學(xué)厄本納-香檳分校材料科學(xué)與工程的約翰?瓊斯教授和他的同事發(fā)現(xiàn)了一種能夠有效改變硅片形狀的方法。這項(xiàng)發(fā)明能夠解決將超薄硅和對(duì)應(yīng)導(dǎo)線在同一表面上的連接問(wèn)題。當(dāng)該表面被拉長(zhǎng)時(shí),由于這些硅片很薄,并且通過(guò)導(dǎo)線相連,因此這些硅片可以自由延展。此項(xiàng)研究可以在保持元件電子特性的基礎(chǔ)上將可彎曲元件與高性能設(shè)備集成起來(lái),徹底改變了電子設(shè)備的工作方式和形態(tài)。約翰?瓊斯教授因此獲得了麻省理工大學(xué)頒發(fā)的勒梅爾森獎(jiǎng)和五十萬(wàn)美元的獎(jiǎng)金。

MC10公司

基于約翰?瓊斯教授和喬治?懷特賽茲教授的研究成果,獲得了北橋創(chuàng)投和Osage聯(lián)屬大學(xué)共享創(chuàng)投基金的資助,MC10公司應(yīng)運(yùn)而生。公司有效的將實(shí)驗(yàn)室研究轉(zhuǎn)化為產(chǎn)品應(yīng)用,成為高校技術(shù)市場(chǎng)化的典范。公司的產(chǎn)品設(shè)計(jì)突破傳統(tǒng)電子設(shè)備的剛性,在原本不可想象的維度和材料上開創(chuàng)電子設(shè)備的新紀(jì)元。新技術(shù)利用現(xiàn)有工具、工藝,通過(guò)互連和封裝的方法,使用傳統(tǒng)高性能(>GHz)半導(dǎo)體材料制造出高性能、低成本的柔性電子材料。MC10公司裝置的設(shè)備,通過(guò)硅蝕刻出非常細(xì)的硅紋,并印刷到柔性襯底上。這就使電子器件能夠適應(yīng)不平坦的表面,如人體皮膚。公司所有的工藝和產(chǎn)品均符合并達(dá)到互補(bǔ)金屬氧化物半導(dǎo)體的行業(yè)標(biāo)準(zhǔn)。

公司與研究機(jī)構(gòu)、企業(yè)和組織積極合作,以優(yōu)勢(shì)互補(bǔ)的方式在醫(yī)療、國(guó)防、體育等各個(gè)領(lǐng)域都有創(chuàng)意應(yīng)用出爐。為了拓展思路,公司甚至向社會(huì)公開募集相關(guān)產(chǎn)品應(yīng)用的創(chuàng)意和構(gòu)思。2010年12月,MC10與銳步公司高調(diào)簽署了合作協(xié)議,研制開發(fā)智能運(yùn)動(dòng)服。雖然產(chǎn)品仍處于概念設(shè)計(jì)階段,但銳步高級(jí)創(chuàng)意副總裁對(duì)合作充滿期待。相信結(jié)合銳步運(yùn)動(dòng)產(chǎn)品經(jīng)驗(yàn)和MC10前沿電子科技的產(chǎn)品將為未來(lái)帶來(lái)無(wú)限可能。

柔性電子技術(shù)在體育用品中的應(yīng)用

智能運(yùn)動(dòng)服

目前市面上的所謂智能運(yùn)動(dòng)服大多都是通過(guò)“加”的方式實(shí)現(xiàn)。例如在運(yùn)動(dòng)胸衣中,使用可導(dǎo)電纖維記錄心率。但記錄的數(shù)據(jù)必須通過(guò)集成芯片傳到心率儀或運(yùn)動(dòng)腕表上進(jìn)行計(jì)算、顯示。由于傳統(tǒng)集成芯片都是剛性芯片,因此在衣物內(nèi)就必須增加一個(gè)塑料盒來(lái)承載和保護(hù)芯片,既不方便,也不美觀。將柔性硅芯片晶體管陣列集成在織物纖維上,或一片印花里,直接貼在衣物上,就不再需要累贅的“接線盒”。此外,運(yùn)動(dòng)服裝設(shè)備可能包含傳感器和一個(gè)微處理器,可監(jiān)控運(yùn)動(dòng)員的健康的許多指標(biāo),如對(duì)身體的影響,心臟和神經(jīng)系統(tǒng)的電信號(hào),汗液的pH值,血壓,步態(tài)和 關(guān)節(jié)的張力。這種裝置可以處理數(shù)據(jù),并生成有關(guān)新陳代謝和運(yùn)動(dòng)狀態(tài)的信息,傳遞到其他設(shè)備上。MC10公司表示,與銳步聯(lián)合開發(fā)的智能運(yùn)動(dòng)服可在一兩年內(nèi)問(wèn)世。

智能運(yùn)動(dòng)鞋

大名鼎鼎的Nike+Ipod受到不少運(yùn)動(dòng)愛好者的青睞,但專用跑鞋、傳感器和Ipod的強(qiáng)大“專利”配置也令不少使用者望而卻步。有了柔性電子技術(shù),無(wú)需專用跑鞋和專用傳感器,只要換上一片智能鞋墊,健身者就可以穿著自己喜愛的運(yùn)動(dòng)鞋自由運(yùn)動(dòng)。只要編碼和應(yīng)用程序開放,數(shù)據(jù)就可以被傳送到經(jīng)過(guò)匹配的任何智能設(shè)備上。

智能頭盔

新的柔性電子合成材料能適應(yīng)人體,在更高的水平接觸皮膚,得到更高質(zhì)量的測(cè)量。集成的晶體管可以放大和處理信號(hào),擁有更好的靈敏度。這樣,柔性的電子裝置將能夠提供更多有價(jià)值的信息。如果將柔性電子裝置放置在頭盔內(nèi),不僅可以測(cè)量任意接觸點(diǎn)的數(shù)據(jù),還可以大大降低產(chǎn)品的重量,避免目前智能頭盔固定點(diǎn)測(cè)量,模擬計(jì)算失真的問(wèn)題。該設(shè)計(jì)已被美國(guó)軍方采納,用于記錄爆炸強(qiáng)度,溫度等數(shù)據(jù)。軍方研制的頭盔也包含晶體管,可獨(dú)立處理數(shù)據(jù),是名副其實(shí)的智能頭盔。

可穿戴太陽(yáng)能電池

太陽(yáng)能電池充電器再便攜也還是需要攜帶。通過(guò)柔性電子裝置將太陽(yáng)能板植入衣帽、背包中,不僅可以解決為其它移動(dòng)設(shè)備充電的問(wèn)題,也可以為衣物內(nèi)的其它有源器件供電。

第8篇:高性能集成電路的概念范文

關(guān)鍵詞:環(huán)氧樹脂 封裝材料 研究現(xiàn)狀

一、環(huán)氧樹脂電子封裝材料的研究現(xiàn)狀

環(huán)氧樹脂是泛指分子中含有兩個(gè)或兩個(gè)以上環(huán)氧基團(tuán)的有機(jī)高分子化合物。由于其分子結(jié)構(gòu)中含有活潑的環(huán)氧基團(tuán),能與胺、酸酐、咪唑、酚醛樹脂等發(fā)生交聯(lián)反應(yīng),形成不溶、不熔的具有三向網(wǎng)狀結(jié)構(gòu)的高聚物。這種聚合物結(jié)構(gòu)中含有大量的羥基、醚鍵、氨基等極性基團(tuán),從而賦予材料許多優(yōu)異的性能,比如優(yōu)良的粘著性、機(jī)械性、絕緣性、耐腐蝕性和低收縮性,且成本比較低、配方靈活多變、易成型生產(chǎn)效率高等,使其廣泛地應(yīng)用于電子器件、集成電路和LED的封裝

1962年,通用電氣公司的尼克·何倫亞克(Hol-onyak)開發(fā)出第一種實(shí)際應(yīng)用的可見光發(fā)光二極管就是使用環(huán)氧樹脂封裝的。環(huán)氧樹脂種類很多,根據(jù)結(jié)構(gòu)的不同主要分為縮水甘油醚型、縮水甘油酯型、縮水甘油胺型、脂肪族、脂環(huán)族、酚醛環(huán)氧樹脂、環(huán)氧化的丁二烯等。由于結(jié)構(gòu)決定性能,因此不同結(jié)構(gòu)的環(huán)氧樹脂,其對(duì)所封裝的制品的各項(xiàng)性能指標(biāo)會(huì)產(chǎn)生直接的影響。例如Huang J C等以六氫鄰苯二甲酸酐為固化劑,以TBAB為催化劑,分別對(duì)用于LED封裝的雙酚A型環(huán)氧樹脂D E R.-331、UV穩(wěn)定劑改性后的雙酚A型環(huán)氧樹脂Eporite-5630和脂環(huán)族環(huán)氧樹脂ERL-4221進(jìn)行了研究。研究發(fā)現(xiàn),D E R-331這類雙酚A型環(huán)氧樹脂主鏈上有許多醚鍵、苯環(huán)、次甲基和異丙基,側(cè)鏈上則有規(guī)律地間隔出現(xiàn)許多仲羥基。其中,環(huán)氧基和羥基賦予樹脂反應(yīng)性,使樹脂固化物具有很強(qiáng)的內(nèi)聚力和黏接力;而極性的醚健和羥基基團(tuán)則有助于提高材料的浸潤(rùn)性和粘附力;苯環(huán)和異丙基賦予聚合物良好的耐熱性和剛性,但因主鏈含苯環(huán),容易發(fā)生光降解而老化并發(fā)黃導(dǎo)致光衰,直接影響LED器件的使用壽命。Eporite-5630因在雙酚A型環(huán)氧樹脂的結(jié)構(gòu)中引入了耐UV的化學(xué)結(jié)構(gòu),使得材料不僅保持了DE R-331優(yōu)點(diǎn),還擁有更好的耐UV性能,更適合于LED的封裝。ERL-4221是脂環(huán)族環(huán)氧,由于環(huán)氧基直接連接在脂環(huán)上,能形成緊密的剛性分子結(jié)構(gòu),固化后交聯(lián)密度增大,使得固化后的材料具有較高的熱變形溫度,可達(dá)300℃以上;分子結(jié)構(gòu)中不含苯環(huán),表現(xiàn)出良好的耐UV性能和低吸濕性,比較適合用于戶外LED,但其固化過(guò)程中產(chǎn)生的內(nèi)應(yīng)力導(dǎo)致其它性能較差。雙酚A型環(huán)氧樹脂因原料易得、成本低、產(chǎn)量大、用途廣,被稱為通用型環(huán)氧樹脂,占環(huán)氧樹脂總用量的90%。該類樹脂具有良好的黏接性、耐腐蝕性、介電性能和成型性。但是,由于苯基和羥基的存在亦使得材料的耐熱性和韌性不高,耐濕熱性和耐候性比較差,容易發(fā)生黃變導(dǎo)致光衰,直接影響LED器件的使用壽命。另外,由于純環(huán)氧樹脂具有高的交聯(lián)結(jié)構(gòu),因而存在質(zhì)脆、易疲勞、耐熱性不夠好、抗沖擊韌性差等缺點(diǎn)。因此,需要對(duì)其做進(jìn)一步的改性才能保證封裝器件的可靠性及滿足多樣化的LED封裝要求。Charles等使用二或三烷氧基硅烷與環(huán)氧樹脂共混并反應(yīng),發(fā)現(xiàn)少量的硅烷即可降低材料的吸濕性,提高環(huán)氧的絕緣性和耐久性。Shiobara等則采用含氫的硅樹脂與烯丙基縮水甘油醚等化合物進(jìn)行硅氫加成反應(yīng),制備有機(jī)硅改性的環(huán)氧化合物,然后將其與環(huán)氧樹脂進(jìn)行共固化,得到高玻璃化轉(zhuǎn)變溫度、低熱膨脹系數(shù)及抗龜裂性好的封裝材料。Yoshinori等通過(guò)在聚二甲基硅氧烷鏈段中引入一定的苯基來(lái)改善與環(huán)氧樹脂的相容性,在側(cè)鏈上引入氨基與環(huán)氧反應(yīng),將有機(jī)硅鏈段接枝到環(huán)氧結(jié)構(gòu)中來(lái)減少固化產(chǎn)物的內(nèi)應(yīng)力和耐高低溫沖擊性能。劉偉區(qū)等在有機(jī)硅改性環(huán)氧樹脂的發(fā)明專利中采用氯端基封端的有機(jī)硅與雙酚A型環(huán)氧樹脂中的羥基反應(yīng),生成有機(jī)硅改性雙酚A型環(huán)氧樹脂后,再將改性樹脂與各種電子封裝用環(huán)氧相混合并共同固化,達(dá)到了既提高環(huán)氧樹脂的韌性和耐熱性又能明顯降低吸水率的目的。此外,該工藝相對(duì)簡(jiǎn)單,成本相對(duì)低廉,有利于大量推廣應(yīng)用及工業(yè)化。Barton等的研究發(fā)現(xiàn)150℃左右環(huán)氧樹脂的透明度降低,LED光輸出減弱,在135~145℃范圍內(nèi)還會(huì)引起樹脂嚴(yán)重退化,對(duì)LED壽命有重要的影響。在大電流情況下,封裝材料甚至?xí)蓟?,在器件表面形成?dǎo)電通道,使器件失效。

為了提高材料的耐熱性,減少因黃變而引起的光衰,Suzuki等選擇脂環(huán)族環(huán)氧樹脂的固化性能進(jìn)行研究,結(jié)果發(fā)現(xiàn)這類材料經(jīng)過(guò)幾周的老化實(shí)驗(yàn)之后,其在400nm的光透過(guò)率仍為90%,具有良好的耐老化性,抗紫外輻射性很好。這是由于環(huán)氧基直接連接在脂環(huán)上,能形成緊密的剛性分子結(jié)構(gòu),固化后交聯(lián)密度增大,使得固化后的材料具有較高的熱變形溫度。同時(shí),分子結(jié)構(gòu)中不含苯環(huán),具有優(yōu)良的耐候性、耐化學(xué)、耐沖擊性能、抗紫外輻射性。另外,因其是由脂環(huán)族烯烴經(jīng)過(guò)有機(jī)過(guò)氧酸的環(huán)氧化制備得到的,其離子含量低,電性能好,不會(huì)因有氯的存在而產(chǎn)生對(duì)微電路的腐蝕等問(wèn)題,適合于用作LED的封裝材料。李元慶等通過(guò)填充納米氧化鋅來(lái)提高對(duì)紫外光的屏蔽效果,減少紫外光對(duì)封裝膠的破壞。結(jié)果發(fā)現(xiàn),選擇合適的粒徑對(duì)封裝材料的光學(xué)性能尤為重要,當(dāng)ZnO含量低于0.07%(wt)、粒徑小于27nm時(shí)復(fù)合封裝材料在可見光區(qū)具有高的透明性,同時(shí)又有良好的耐紫外光輻射性,滿足UV-LED封裝的需要。Hi-sataka等人將粒徑5~40nm的二氧化硅和粒徑5~100nm的球形玻璃粉加入到有機(jī)硅改性環(huán)氧樹脂中,硫化成型后材料的透光率可達(dá)95.7%,折射率為1.53~1.56,線膨脹系數(shù)為40×10-6K-1左右,經(jīng)200次冷熱沖擊后損壞率僅4%~12.5%。周利寅等在環(huán)氧固化體系中引入環(huán)氧倍半硅氧烷,利用氧倍半硅氧烷的籠型結(jié)構(gòu)及高鍵能的硅氧鍵來(lái)提高環(huán)氧封裝料的耐熱性和抗黃變性。黃偉等采用4-乙烯基-環(huán)氧環(huán)己烷與含氫環(huán)體進(jìn)行加成反應(yīng),然后使用β-二酮金屬絡(luò)合物作為催化劑來(lái)固化有機(jī)硅改性的環(huán)氧樹脂,發(fā)現(xiàn)產(chǎn)物具有優(yōu)良的光學(xué)性能、抗紫外、耐高溫老化性能,適合于UV-LED的封裝。由于使用的催化劑是有機(jī)金屬化合物,其在中溫與有機(jī)硅改性環(huán)氧樹脂中有良好的溶解性,本身耐高溫,可以有效避免因?yàn)槭褂冒坊螋袒瘎┒a(chǎn)生的高溫黃變問(wèn)題。此外,還有通過(guò)對(duì)雙酚A化合物進(jìn)行加氫制備不含雙鍵的氫化雙酚A型環(huán)氧樹脂來(lái)提高封裝材料的耐候性。

為了提高材料的硬度、耐冷熱沖擊能力,降低其模量,日本信越化學(xué)公司將含硅羥基的乙烯基硅樹脂、含氫硅油及少量有機(jī)硅彈性體加入環(huán)氧樹脂中,使用鉑系催化劑催化硅氫加成反應(yīng),烷氧基或?;蚬枇u基鋁化物作環(huán)氧固化劑,經(jīng)注塑成型后獲得折射率高達(dá)1?51、硬度70A、不吸塵、低模量、低收縮率的LED封裝材料。另外,該封裝材料經(jīng)-40℃/120℃冷熱沖擊1000次不開裂。雖然通過(guò)以上方法改性能夠一定程度上改善環(huán)氧樹脂封裝料的耐熱、抗黃變性能,但隨著商業(yè)化LED功率不斷提高,大功率的芯片需要更高的電流和導(dǎo)致更高結(jié)溫,對(duì)LED的封裝材料亦提出更高的要求?,F(xiàn)在的環(huán)氧及改性產(chǎn)品因自身熱阻比較大,不利于散熱而影響LED芯片的使用壽命,已不能滿足使用需求。為了有效地降低封裝熱阻,提高出光效率,必須尋找一種新的替代材料。

二、環(huán)氧樹脂電子封裝材料的發(fā)展趨勢(shì)

1.液晶環(huán)氧樹脂

液晶環(huán)氧樹脂是一種高度分子有序、深度分子交聯(lián)的聚合物網(wǎng)絡(luò),它融合了液晶有序與網(wǎng)絡(luò)交聯(lián)的優(yōu)點(diǎn),與普通環(huán)氧樹脂相比,其耐熱性、耐水性和耐沖擊性都大為改善,可以用來(lái)制備高性能復(fù)合材料;同時(shí),液晶環(huán)氧樹脂在取向方向上線膨脹系數(shù)很小,而且其介電強(qiáng)度高、介電損耗小,是一種在電子封裝領(lǐng)域具有美好應(yīng)用前景的新型功能材料。

2.新型脂環(huán)氧樹脂

脂環(huán)式環(huán)氧樹脂的合成中,不用環(huán)氧氯丙烷為原料,因此產(chǎn)品的有機(jī)氯含量為0。因此有可能開發(fā)出超高純度的環(huán)氧樹脂新材料,這對(duì)于電子封裝的高純凈要求十分有利。目前這方面的研究報(bào)道很少,幾乎沒有工業(yè)化的產(chǎn)品出現(xiàn),是今后電子封裝材料值得注意的一個(gè)開發(fā)方向。

3.綠色環(huán)保封裝材料

塑封材料大多采用含各種添加成分的熱固環(huán)氧樹脂,固化后大部分可抵抗化學(xué)侵蝕,產(chǎn)品報(bào)廢時(shí)難以溶解,有的還會(huì)釋放出有害物質(zhì)。隨著信息產(chǎn)業(yè)的飛速發(fā)展,器件封裝量日益增加,產(chǎn)品報(bào)廢時(shí)產(chǎn)生的廢物將迅速增加,這必然造成環(huán)境污染的問(wèn)題。因此,開發(fā)綠色環(huán)保型封裝材料是未來(lái)的必然趨勢(shì)。解決這一問(wèn)題的一個(gè)可能途徑是使用熱塑封裝材料,但這會(huì)帶來(lái)許多新的可靠性問(wèn)題。

4.環(huán)氧樹脂基納米復(fù)合封裝材料

環(huán)氧樹脂中加入納米材料是一種行之有效的改性方法。納米材料的表面非配對(duì)原子多,與環(huán)氧樹脂發(fā)生物理或化學(xué)結(jié)合的可能性大,增強(qiáng)了粒子與基體的界面結(jié)合,因而可承擔(dān)一定的載荷,具有增強(qiáng)、增韌的可能,過(guò)精細(xì)控制無(wú)機(jī)超微粒子在環(huán)氧樹脂中的分散與復(fù)合,能以很少的無(wú)機(jī)粒子體積含量,在一個(gè)相當(dāng)大的范圍內(nèi)有效地改善復(fù)合材料的綜合性能,增強(qiáng)、增韌、抗老化,且不影響材料的加工特性。因此,如能采用有效的方法,解決納米材料在環(huán)氧基體中的分散問(wèn)題,將有可能制備出強(qiáng)度好、韌性高、耐熱的高性能封裝材料。

當(dāng)前,伴隨著高密度高性能的要求出現(xiàn)了許多新的發(fā)展形式,電子封裝的概念也已從傳統(tǒng)的器件轉(zhuǎn)為系統(tǒng),即在封裝的信號(hào)傳遞、支撐載體、熱傳導(dǎo)、芯片保護(hù)等傳統(tǒng)功能的基礎(chǔ)上進(jìn)一步擴(kuò)展,利用薄膜、厚膜工藝以及嵌入工藝將系統(tǒng)的信號(hào)傳輸電路及大部分有源、無(wú)源元件進(jìn)行集成,并與芯片的高密度封裝和元器件外貼工藝相結(jié)合,從而實(shí)現(xiàn)對(duì)系統(tǒng)的封裝集成,達(dá)到最高密度的封裝。封裝面向系統(tǒng)在國(guó)際上已成為該領(lǐng)域的制高點(diǎn),各大公司都在投入巨資進(jìn)行發(fā)展。高分子材料的發(fā)展將為封裝技術(shù)的革命提供更多可選擇的新型材料,特別是塑料共混改性技術(shù)的發(fā)展,一系列高性能、新功能、低成本新材料大量涌現(xiàn)。將反應(yīng)性擠出增容技術(shù)、分子原位復(fù)合技術(shù)、反應(yīng)擠出合成技術(shù)等新技術(shù)應(yīng)用于封裝材料的研究,必將大大推動(dòng)封裝技術(shù)的進(jìn)步和發(fā)展。高分子材料的改性新技術(shù)與面向系統(tǒng)的封裝相結(jié)合,有可能導(dǎo)致封裝技術(shù)的新革命。

參考文獻(xiàn)

[1] 陶長(zhǎng)元、董福平、杜軍、劉弘煒. LED封裝用環(huán)氧樹脂的研究進(jìn)展.[C].第十一次全國(guó)環(huán)氧樹脂應(yīng)用技術(shù)學(xué)術(shù)交流會(huì)論文集,江蘇常熟,2005

[2] 周利寅、賀英、張文飛、諶小斑. LED封裝用環(huán)氧樹脂/環(huán)氧倍半硅氧烷雜化材料的研制[J].工程塑料應(yīng)用, 2009, 37(3):5-8.

[3] Huang W, Yuan Y X, Yu Y Z. Synthesis, curing and proper-ties of silicone-epoxies[J]. Journal of Adhesion and Interface.2006, 7(4):39-44.

第9篇:高性能集成電路的概念范文

2014年以來(lái),國(guó)際經(jīng)濟(jì)復(fù)蘇乏力,國(guó)內(nèi)宏觀經(jīng)濟(jì)環(huán)境不容樂(lè)觀,我國(guó)電子新信息制造業(yè)呈現(xiàn)出發(fā)展持續(xù)波動(dòng)、出口負(fù)增長(zhǎng)、智能硬件領(lǐng)域新產(chǎn)品新服務(wù)活躍等特點(diǎn)。產(chǎn)業(yè)發(fā)展仍然存在宏觀經(jīng)濟(jì)環(huán)境不確定性顯現(xiàn)、集成電路基金布局、部分領(lǐng)域惡性競(jìng)爭(zhēng)風(fēng)險(xiǎn)加劇、知識(shí)產(chǎn)權(quán)布局及共享意識(shí)薄弱等問(wèn)題。

在工業(yè)互聯(lián)網(wǎng)、智能硬件的平臺(tái)競(jìng)爭(zhēng)以及企業(yè)跨界并購(gòu)活躍的新形勢(shì)下,產(chǎn)業(yè)亟待尋找新的產(chǎn)業(yè)增長(zhǎng)點(diǎn),加快經(jīng)濟(jì)發(fā)展方式轉(zhuǎn)型。

基本情況

產(chǎn)業(yè)增速在波動(dòng)中小幅回升

1、產(chǎn)業(yè)增速呈現(xiàn)波動(dòng)

2014年以來(lái),我國(guó)電子信息制造業(yè)增幅一直在7-10%之間徘徊。 1-8月,產(chǎn)業(yè)實(shí)現(xiàn)銷售產(chǎn)值6.42萬(wàn)億元,同比增長(zhǎng)9.7%,比去年同期(11.2%)回落1.5個(gè)百分點(diǎn),比1-5月、1-6月、1-7月環(huán)比分別上升0.7個(gè)、0.1個(gè)、和下降0.3個(gè)百分點(diǎn)。規(guī)模以上制造業(yè)增加值同比增長(zhǎng)11.2%,與去年同期(11.1%)和今年1-7月(11.5%)相比,分別上升0.1和下降0.3個(gè)百分點(diǎn),高于工業(yè)平均水平2.7個(gè)百分點(diǎn)??v觀今年1-8月,3月、4月銷售產(chǎn)值增長(zhǎng)明顯提升,扭轉(zhuǎn)了1-2月開局低迷之勢(shì),之后產(chǎn)業(yè)總體保持平穩(wěn)發(fā)展態(tài)勢(shì),6月銷售產(chǎn)值達(dá)到年初以來(lái)最好水平,8月制造業(yè)主要指標(biāo)小幅回調(diào)。

2、主要產(chǎn)品產(chǎn)量增速差別顯著

一方面,由于市場(chǎng)較為飽和,手機(jī)等市場(chǎng)較為成熟的整機(jī)產(chǎn)品增速繼續(xù)下降,1-8月全行業(yè)共生產(chǎn)手機(jī)11.23億部,增速同比下降11.8個(gè)百分點(diǎn)。另一方面,受市場(chǎng)拉動(dòng),彩電產(chǎn)量明顯上升,生產(chǎn)9683.7萬(wàn)臺(tái),增速比去年同期上升7.5個(gè)百分點(diǎn)。此外,移動(dòng)通信基站成為產(chǎn)品增長(zhǎng)的最大亮點(diǎn),增幅高達(dá)143.6%。

3、主要行業(yè)增速普遍下滑

通信設(shè)備制造業(yè)仍然引領(lǐng)產(chǎn)業(yè)發(fā)展,但由于智能手機(jī)市場(chǎng)正逐漸飽和,行業(yè)增速呈持續(xù)下滑。1-8月,通信設(shè)備行業(yè)實(shí)現(xiàn)銷售產(chǎn)值為15.9%,比去年同期下降10.4個(gè)百分點(diǎn);家用視聽行業(yè)受主要貿(mào)易伙伴東南亞國(guó)家電視升級(jí)換代需求影響,8月外銷回暖,內(nèi)銷小幅回調(diào)。1-8月家用視聽行業(yè)實(shí)現(xiàn)銷售產(chǎn)值4807億元,同比增長(zhǎng)4.6%;計(jì)算機(jī)行業(yè)的行業(yè)占比和貢獻(xiàn)率繼續(xù)下滑,1-8月計(jì)算機(jī)行業(yè)實(shí)現(xiàn)銷售產(chǎn)值14256億元,同比增長(zhǎng)3%,占全行業(yè)比重比去年同期下降2.1個(gè)百分點(diǎn),對(duì)全行業(yè)增長(zhǎng)的貢獻(xiàn)率由去年同期的12.4%下降至7.2%。

產(chǎn)業(yè)進(jìn)出口仍處于負(fù)增長(zhǎng)

1、電子信息產(chǎn)品進(jìn)出口延續(xù)嚴(yán)峻態(tài)勢(shì)

受上年基數(shù)較高以及打擊對(duì)港虛假貿(mào)易等因素影響,2014年以來(lái)我國(guó)電子信息產(chǎn)品進(jìn)出口額增長(zhǎng)由正轉(zhuǎn)負(fù),三季度以來(lái),外貿(mào)形勢(shì)逐漸好轉(zhuǎn),但總體形勢(shì)依舊嚴(yán)峻。1-8月,我國(guó)電子信息產(chǎn)品進(jìn)出口總額8278億美元,同比下降4.6%,降幅比1-7月收窄0.5個(gè)百分點(diǎn);其中,出口4907億美元,同比下降2.8%,降幅比1-7月收窄0.6個(gè)百分點(diǎn);進(jìn)口3370億美元,同比下降7%,降幅比1-6月收窄0.5個(gè)百分點(diǎn)。值得關(guān)注的是8月當(dāng)月出口增長(zhǎng)明顯,出口額達(dá)655.8億美元,同比增長(zhǎng)1.3%,扭轉(zhuǎn)前期同比下降態(tài)勢(shì)。

2、主要行業(yè)和產(chǎn)品出口呈現(xiàn)分化

分行業(yè)看,當(dāng)前電子信息產(chǎn)品出口呈現(xiàn)出兩極分化情況,僅電子器件產(chǎn)品呈現(xiàn)下降態(tài)勢(shì),其余行業(yè)已經(jīng)實(shí)現(xiàn)正增長(zhǎng)。計(jì)算機(jī)行業(yè)和通信設(shè)備行業(yè)出口增速均不足5%,家用電子電器、電子元件、電子儀器儀表,增速為5-10%,廣播電視設(shè)備、電子材料增速超過(guò)5%。但是,1-8月,電子器件產(chǎn)業(yè)出口額達(dá)865.9億美元,增速下降逾20%,出口形勢(shì)仍然嚴(yán)峻。

3、集成電路領(lǐng)域出口異常導(dǎo)致產(chǎn)業(yè)出口增速下降

電子器件行業(yè)出口額865.9億美元,同比下降24.7%,其中,集成電路出口下降仍然是最主要原因。從月度數(shù)據(jù)看,集成電路出口增速止跌,但未出現(xiàn)使進(jìn)出口由負(fù)轉(zhuǎn)正的重要轉(zhuǎn)變。6月以來(lái),集成電路出口結(jié)束10個(gè)月的加速下跌態(tài)勢(shì),連續(xù)3個(gè)月出口值均約20億美元。8月,集成電路出口同比下降13.6%,仍然成為電子信息產(chǎn)品出口負(fù)增長(zhǎng)的主要因素。

智能硬件領(lǐng)域呈現(xiàn)高度活躍

1、各大手機(jī)廠商爭(zhēng)相新的智能手機(jī)

三季度以來(lái),以蘋果手機(jī)iPhone6和iPhone6 plus的為代表,三星 Note4 及Note Edge、小米手機(jī)4、魅族MX4、中興通訊三款手機(jī)、索尼Xperia C3及Xperia Z3、聯(lián)想Vibe Z2、華為Ascend G7及Ascend Mate7、HTC IFA、諾基亞 IFALumia730/735等各大手機(jī)廠商旗艦產(chǎn)品相繼問(wèn)世,旨在奪取更多市場(chǎng)份額。

2、手機(jī)制造商、互聯(lián)網(wǎng)廠商加緊布局可穿戴設(shè)備

蘋果和摩托羅拉分別推出Apple Watch和Moto 360等產(chǎn)品的,百度積極打造智能生活硬件生態(tài)圈,谷歌針對(duì)可穿戴設(shè)備的Android Wear操作系統(tǒng)及面向第三方開發(fā)人員的可穿戴設(shè)備軟件開發(fā)工具包(SDK),已經(jīng)吸引了摩托羅拉、三星、LGD、HTC等企業(yè)加盟。

3、智能家居領(lǐng)域呈現(xiàn)多方向新進(jìn)展

三季度以來(lái),湘鄂情與安徽廣電聯(lián)合進(jìn)入安徽省家庭智能有線電視云終端,中國(guó)電信推出智慧家庭服務(wù)平臺(tái)“悅me”,阿里巴巴家庭數(shù)字娛樂(lè)生態(tài)戰(zhàn)略,海爾電視和阿里數(shù)字?jǐn)M于9月智能電視。

主要問(wèn)題

宏觀經(jīng)濟(jì)環(huán)境不確定性顯現(xiàn)

國(guó)家統(tǒng)計(jì)局的8月份最新數(shù)據(jù)顯示,經(jīng)濟(jì)運(yùn)行再次出現(xiàn)波動(dòng)。由于世界經(jīng)濟(jì)復(fù)蘇乍暖還寒、國(guó)外需求不振,并受基數(shù)、氣候等特殊因素的影響,國(guó)內(nèi)房地產(chǎn)調(diào)整效應(yīng)累積增加、企業(yè)主動(dòng)調(diào)結(jié)構(gòu)轉(zhuǎn)方式,我國(guó)工業(yè)、電力、投資等主要經(jīng)濟(jì)指標(biāo)增速普遍出現(xiàn)回落。1-8月,計(jì)算機(jī)、通信和其他電子設(shè)備制造業(yè)增長(zhǎng)9.6%,回落1.5個(gè)百分點(diǎn)。據(jù)估計(jì),汽車和電子行業(yè)增速回落拉低規(guī)模以上工業(yè)增加值增速合計(jì)達(dá)到0.31個(gè)百分點(diǎn),約占全部規(guī)模以上工業(yè)增速回落的14.8%。

集成電路產(chǎn)業(yè)基金,呈現(xiàn)集中支持風(fēng)險(xiǎn)

隨著《國(guó)家集成電路產(chǎn)業(yè)發(fā)展推進(jìn)綱要》的出臺(tái)實(shí)施,規(guī)模為1250億元的國(guó)家集成電路發(fā)展基金管理公司已于近日成立。在全國(guó)大力推動(dòng)集成電路產(chǎn)業(yè)發(fā)展的背后,也暴露出了基金的集中式支持、地方投資熱情高漲和集成電路企業(yè)估值等問(wèn)題。雖然推進(jìn)綱要中強(qiáng)調(diào)產(chǎn)業(yè)投資基金主要投資集成電路領(lǐng)域,但集成電路產(chǎn)業(yè)不是獨(dú)立產(chǎn)業(yè),其設(shè)計(jì)與市場(chǎng)應(yīng)用需求密不可分。在《推進(jìn)綱要》“設(shè)計(jì)為龍頭、制造為基礎(chǔ)、設(shè)備和材料為支撐”的意見指導(dǎo)下,積極將市場(chǎng)作為設(shè)計(jì)的源頭,通過(guò)市場(chǎng)再尋找或培育投資標(biāo)的,大力支持整機(jī)企業(yè)發(fā)展集成電路業(yè)務(wù)。

部分領(lǐng)域惡性競(jìng)爭(zhēng)風(fēng)險(xiǎn)加劇

我國(guó)已經(jīng)培育出華為、百度、騰訊、阿里巴巴等具有世界影響力和國(guó)際競(jìng)爭(zhēng)力的大型企業(yè),但大型龍頭企業(yè)對(duì)于國(guó)內(nèi)市場(chǎng)競(jìng)爭(zhēng)環(huán)境的塑造仍需加強(qiáng)。

在通信設(shè)備領(lǐng)域,存在過(guò)度使用專利訴訟、產(chǎn)品質(zhì)疑和論證等行為打擊競(jìng)爭(zhēng)對(duì)手的嫌疑,將影響市場(chǎng)競(jìng)爭(zhēng)秩序的公平性和穩(wěn)定性。在互聯(lián)網(wǎng)領(lǐng)域,大型互聯(lián)網(wǎng)公司通過(guò)并購(gòu)、戰(zhàn)略投資、打壓等手段,一定程度上抑制了初創(chuàng)企業(yè)和小微企業(yè)的發(fā)展。2003年以來(lái),互聯(lián)網(wǎng)行業(yè)只有京東和360等極少數(shù)企業(yè)成長(zhǎng)起來(lái),中國(guó)互聯(lián)網(wǎng)面臨荒漠化的危險(xiǎn)。

知識(shí)產(chǎn)權(quán)布局及共享意識(shí)薄弱

雖然我國(guó)企業(yè)在專利布局上已經(jīng)取得一定進(jìn)展,比如華為在5G方面申請(qǐng)專利數(shù)量已經(jīng)超過(guò)了愛立信,但隨著專利訴訟案件數(shù)量的迅速增長(zhǎng),我國(guó)仍亟需增強(qiáng)知識(shí)產(chǎn)權(quán)保護(hù)意識(shí)和專利布局。

當(dāng)前,我國(guó)企業(yè)申請(qǐng)專利中發(fā)明專利的占比偏低,對(duì)于產(chǎn)業(yè)發(fā)展影響深遠(yuǎn)的核心專利更是少之又少,關(guān)鍵還在于我國(guó)企業(yè)對(duì)前瞻技術(shù)的知識(shí)產(chǎn)權(quán)布局意識(shí)缺乏。

以云計(jì)算、大數(shù)據(jù)等新興領(lǐng)域?yàn)槔?,我?guó)知識(shí)產(chǎn)權(quán)法律法規(guī)不夠健全,難以長(zhǎng)期支持具有自主創(chuàng)新意識(shí)的企業(yè)占領(lǐng)新的高地。更為重要的是,與國(guó)際平均水平比較,我國(guó)大中型企業(yè)的專利共享意識(shí)十分淡薄,龍頭企業(yè)在擁有了相關(guān)專利后缺乏聯(lián)合帶動(dòng)產(chǎn)業(yè)發(fā)展的觀念和行動(dòng),容易形成產(chǎn)業(yè)無(wú)序發(fā)展。

四季度走勢(shì)判斷

展望四季度產(chǎn)業(yè)發(fā)展態(tài)勢(shì),我們認(rèn)為,就市場(chǎng)環(huán)境而言,國(guó)內(nèi)外宏觀環(huán)境依舊徘徊增長(zhǎng),產(chǎn)業(yè)投資增速小幅下滑,預(yù)計(jì)四季度電子信息制造業(yè)仍將維持低速增長(zhǎng),但由于龍頭企業(yè)的拉動(dòng)作用,整體狀況將好于三季度。同時(shí),政策效應(yīng)有待集中爆發(fā)。寬帶中國(guó)政策將推動(dòng)寬帶基礎(chǔ)設(shè)施建設(shè),移動(dòng)基站設(shè)施布局的基礎(chǔ)上帶動(dòng)終端產(chǎn)品增長(zhǎng),集成電路產(chǎn)業(yè)扶持政策有望進(jìn)一步增強(qiáng)集成電路產(chǎn)業(yè)的支柱作用。綜上,預(yù)計(jì)四季度電子信息制造業(yè)的銷售產(chǎn)值增速將略高于三季度水平,達(dá)10-11%;增加值增速基本維持現(xiàn)狀,約11%。

四季度產(chǎn)業(yè)發(fā)展將面臨以下三方面趨勢(shì):

兩化深度融合呈現(xiàn)新方向,工業(yè)互聯(lián)網(wǎng)成為國(guó)際競(jìng)爭(zhēng)制高點(diǎn)

針對(duì)企業(yè)互聯(lián)網(wǎng)戰(zhàn)略機(jī)遇做好頂層設(shè)計(jì),互聯(lián)網(wǎng)工業(yè)戰(zhàn)略。在美國(guó)商務(wù)部的支持下,2014年3月底,由AT&T、思科、通用電氣(GE)、IBM、英特爾等發(fā)起成立的工業(yè)互聯(lián)網(wǎng)聯(lián)盟(IIC)力圖通過(guò)設(shè)備與IT技術(shù)的融合,將高性能設(shè)備、低成本傳感器、互聯(lián)網(wǎng)、大數(shù)據(jù)分析等技術(shù)的結(jié)合,從而大幅提高現(xiàn)有產(chǎn)業(yè)的效率并創(chuàng)造新產(chǎn)業(yè),進(jìn)一步推進(jìn)美國(guó)“再工業(yè)化”國(guó)家戰(zhàn)略。工業(yè)互聯(lián)網(wǎng)具有從產(chǎn)品創(chuàng)新轉(zhuǎn)向客戶為中心、從流程管控轉(zhuǎn)向數(shù)據(jù)系統(tǒng)、從延時(shí)運(yùn)營(yíng)轉(zhuǎn)向?qū)崟r(shí)運(yùn)營(yíng)、從領(lǐng)導(dǎo)指揮轉(zhuǎn)向員工創(chuàng)新四大特點(diǎn),是未來(lái)信息產(chǎn)業(yè)與傳統(tǒng)產(chǎn)業(yè)融合的制高點(diǎn),也將成為下一階段全球信息產(chǎn)業(yè)競(jìng)爭(zhēng)的核心。

智能硬件形態(tài)逐漸豐富,從產(chǎn)品競(jìng)爭(zhēng)走向平臺(tái)競(jìng)爭(zhēng)

智能硬件正成為電子信息制造領(lǐng)域的新熱點(diǎn)。這一涵蓋了智能手機(jī)、智能汽車、智能家居、可穿戴設(shè)備等有形概念以及智能制造、3D打印、人工智能等無(wú)形概念的新事物,逐漸成為電子信息產(chǎn)業(yè)發(fā)展的風(fēng)向標(biāo),成為驅(qū)動(dòng)開啟產(chǎn)業(yè)新紀(jì)元的創(chuàng)新導(dǎo)引。三季度以來(lái),隨著蘋果、摩托羅拉進(jìn)軍可穿戴設(shè)備,國(guó)內(nèi)外龍頭企業(yè)積極布局智能家居,甚至芯片廠商、互聯(lián)網(wǎng)企業(yè)頻頻發(fā)力智能汽車領(lǐng)域,都體現(xiàn)了這些新興領(lǐng)域的生命力,而智能手機(jī)領(lǐng)域的平臺(tái)競(jìng)爭(zhēng)可能向各智能硬件領(lǐng)域延伸。

企業(yè)并購(gòu)愈發(fā)活躍,跨界競(jìng)爭(zhēng)日趨激烈

科技與產(chǎn)業(yè)的融合生生不息,互聯(lián)網(wǎng)以其滲透性,影響著所有關(guān)聯(lián)性或高或低的行業(yè),促成了IT行業(yè)極其活躍的并購(gòu)活動(dòng),推動(dòng)產(chǎn)業(yè)跨界競(jìng)爭(zhēng)升級(jí)。三季度以來(lái),國(guó)內(nèi)外IT并購(gòu)市場(chǎng)繼續(xù)活躍,跨界融合層出不窮。互聯(lián)網(wǎng)企業(yè)對(duì)移動(dòng)即時(shí)通訊、電子地圖等入口競(jìng)爭(zhēng)的關(guān)注加劇,芯片、電腦、服務(wù)器等傳統(tǒng)制造業(yè)領(lǐng)域都出現(xiàn)了大型并購(gòu)活動(dòng),網(wǎng)絡(luò)安全技術(shù)、人工智能、云等新領(lǐng)域也成為并購(gòu)重要對(duì)象,體現(xiàn)了跨界競(jìng)爭(zhēng)的新方向。高檔餐飲企業(yè)湘鄂情力圖轉(zhuǎn)型云計(jì)算和智能終端,聯(lián)手安徽廣電、技術(shù)端聯(lián)手中科院,擬投資15-25億元開發(fā)覆蓋安徽省的家庭智能有線電視云終端,也代表了傳統(tǒng)企業(yè)對(duì)IT產(chǎn)業(yè)的滲透。

政策建議

1、組織國(guó)內(nèi)支撐機(jī)構(gòu)梳理集成電路產(chǎn)業(yè)鏈條,研判產(chǎn)業(yè)發(fā)展趨勢(shì)

針對(duì)集成電路產(chǎn)品或標(biāo)的進(jìn)行分門別類,對(duì)于市場(chǎng)性的標(biāo)的,由集成電路管理公司根據(jù)市場(chǎng)情況自行判斷投資可行性,對(duì)于戰(zhàn)略性的,如國(guó)家信息安全或產(chǎn)業(yè)安全的,由政府或基金公司進(jìn)行抉擇,避免由于基金公司和基金管理公司之間的博弈而未能有效貫徹國(guó)家戰(zhàn)略意圖或貽誤戰(zhàn)機(jī)。

2、盡快實(shí)施工業(yè)互聯(lián)網(wǎng)相關(guān)戰(zhàn)略,深入推進(jìn)兩化融合

針對(duì)德國(guó)的“工業(yè)4.0”和美國(guó)的“工業(yè)互聯(lián)網(wǎng)”等發(fā)達(dá)國(guó)家互聯(lián)網(wǎng)經(jīng)濟(jì)戰(zhàn)略的持續(xù)升級(jí),我國(guó)應(yīng)系統(tǒng)地提出適應(yīng)我國(guó)國(guó)情的兩化深度融合的發(fā)展戰(zhàn)略。盡快制定工業(yè)互聯(lián)網(wǎng)的相關(guān)戰(zhàn)略,在進(jìn)一步推進(jìn)信息化建設(shè)的基礎(chǔ)上,加強(qiáng)互聯(lián)網(wǎng)和智能制造技術(shù)對(duì)農(nóng)業(yè)、工業(yè)和服務(wù)業(yè)的滲透,增強(qiáng)信息技術(shù)在企業(yè)生產(chǎn)、經(jīng)營(yíng)、管理等方面的決策支撐作用。

3、進(jìn)一步重視知識(shí)產(chǎn)權(quán)布局,加強(qiáng)新興領(lǐng)域的國(guó)際國(guó)內(nèi)標(biāo)準(zhǔn)建設(shè)

在通信設(shè)備領(lǐng)域、通信運(yùn)營(yíng)領(lǐng)域等快速發(fā)展領(lǐng)域,加強(qiáng)對(duì)四代、五代通信技術(shù)專利布局,推動(dòng)華為、中興等通信設(shè)備龍頭企業(yè)通過(guò)專利布局搶占行業(yè)發(fā)展的國(guó)際領(lǐng)先優(yōu)勢(shì)。在物聯(lián)網(wǎng)、云計(jì)算、大數(shù)據(jù)等領(lǐng)域,在去“IOE”化的基礎(chǔ)上,推動(dòng)百度、阿里巴巴、聯(lián)想等企業(yè)盡快制訂云計(jì)算、數(shù)據(jù)倉(cāng)庫(kù)標(biāo)準(zhǔn),在搶先建設(shè)國(guó)內(nèi)標(biāo)準(zhǔn)的基礎(chǔ)上,力爭(zhēng)形成國(guó)際標(biāo)準(zhǔn),占領(lǐng)云計(jì)算、大數(shù)據(jù)發(fā)展的新高地。