公務員期刊網(wǎng) 精選范文 電路設計開發(fā)流程范文

電路設計開發(fā)流程精選(九篇)

前言:一篇好文章的誕生,需要你不斷地搜集資料、整理思路,本站小編為你收集了豐富的電路設計開發(fā)流程主題范文,僅供參考,歡迎閱讀并收藏。

電路設計開發(fā)流程

第1篇:電路設計開發(fā)流程范文

關(guān)鍵詞:數(shù)字電子電路;VHDL;EDA技術(shù);可編程芯片

中圖分類號:TN702 文獻標識碼:A 文章編號:1009-2374(2013)19-0051-02

大規(guī)模集成電路的出現(xiàn)以及計算機技術(shù)的不斷更新?lián)Q代與廣泛應用,促使數(shù)字電子電路的設計已經(jīng)發(fā)展到了一個更新的領域,用以下四方面便足以顯示:(1)硬件電路的設計越來越趨向軟件化;(2)數(shù)字電路發(fā)展愈加芯片化;(3)電子器件以及與其相關(guān)的技術(shù)研發(fā)越來越多地朝著服務于EDA的方向;(4)電路設計技術(shù)的發(fā)展趨勢朝著更加規(guī)范化、標準化的HDL硬件描述語言及EDA工具的推廣使用上。當今的技術(shù)發(fā)展趨勢要求從業(yè)人員在進行數(shù)字電子電路設計過程中,必須具備運用電子計算機以及操作超大規(guī)模的可編程邏輯器件的能力。本文通過對以EDA與VHDL為基礎的數(shù)字電子電路開發(fā)設計過程進行簡要敘述,為該技術(shù)的推廣運用,做出必要的文獻研究支撐。

1 EDA技術(shù)概述以及其開發(fā)設計流程

1.1 EDA技術(shù)的基本知識

EDA技術(shù)指的是以計算機為工作平臺,將應用信息處理、計算機技術(shù)、智能化技術(shù)及電子技術(shù)進行融合的最新成果,進行電子產(chǎn)品的自動設計。20世紀60年代中葉,隨著技術(shù)水平的不斷進步逐漸,該技術(shù)結(jié)合了CAM、CAT、CAD以及CAE的綜合優(yōu)勢被逐步發(fā)展出來。

與其前身相比,EDA在以下五方面擁有著十分明顯的優(yōu)勢:(1)EDA技術(shù)能夠?qū)δ繕诉M行現(xiàn)場編程,并即時地實現(xiàn)在線升級。(2)硬件電路設計過程中采用軟件設計的方式,通過輸入波形、原理圖、編程語言等指令,可以在進行硬件設計、修改、檢測中,不涉及任何硬件工具進行特定作業(yè)。(3)產(chǎn)品直面設計自動化。EDA技術(shù)能夠自動地根據(jù)設計輸入的電路原理圖或者HDL進行邏輯編譯、適配、布局、優(yōu)化等一系列工序調(diào)整并生成符合要求的目標系統(tǒng)。換句話說,就是運用電路功能完成對電子產(chǎn)品的測試、仿真、優(yōu)化全程操作。(4)EDA技術(shù)的經(jīng)濟實用性更加科學、合理,不僅設計成本保持在較低水平,設計的靈活性也大大提高,同時新技術(shù)的開發(fā)周期也明顯縮短。(5)集成化程度更加完善。EDA設計方法,還有另外一種稱呼:利用芯片進行設計的方法,在集成芯片日益大規(guī)模的發(fā)展背景下,利用EDA技術(shù),可以實現(xiàn)在芯片上構(gòu)建系統(tǒng)的目的。

1.2 EDA技術(shù)的開發(fā)設計流程

EDA技術(shù)在設計方法上,通過對以往的“電路設計硬件搭試調(diào)試”模式進行革命化的轉(zhuǎn)變,代之以計算機自動化完成的模式(如圖1),完成了數(shù)字電子電路設計的巨大飛躍。

筆者將EDA技術(shù)設計過程中兩個最基本的組成部分設計載體:可編程邏輯器件PLD以及設計輸入:硬件描述語言VHDL進行具體介紹。

2 可編程邏輯器件PLD

可編程邏輯器件(Programmable Logic Device,PLD)是一種電子零件、電子組件,簡而言之也是一種集成電路、芯片。PLD芯片屬于數(shù)字型態(tài)的電路芯片,而非模擬或混訊(同時具有數(shù)字電路與模擬電路)芯片。PLD與一般數(shù)字芯片不同的是:PLD內(nèi)部的數(shù)字電路可以在出廠后才規(guī)劃決定,有些類型的PLD也允許在規(guī)劃決定后再次進行變更、改變,而一般數(shù)字芯片在出廠前就已經(jīng)決定其內(nèi)部電路,無法在出廠后再次改變。

3 硬件描述語言VHDL

3.1 VHDL的基本知識

VHDL全名Very-High-Speed Integrated Circuit Hardware Description Language,在電子工程領域,已成為事實上的通用硬件描述語言。具有以下特點與優(yōu)勢:(1)更強大的行為描述能力。有效避免具體的器件結(jié)構(gòu),為實現(xiàn)超大規(guī)模的電子系統(tǒng)設計與描述打下堅實基礎。(2)適用范圍多樣,且易于操作修改。VHDL采用國際通用的編程語言,能夠很好地適用于不同版本的EDA工具,為操作者進行使用和修改提供了極大的便利。(3)設計描述過程獨為一體。編程人員能夠在對設計最終目標以及其他設計領域不甚了解的情況下,完成自身的編程操作工序。(4)使用EDA工具可以十分方便地對VHDL語言進行進一步的優(yōu)化提升,由于EDA工具對其的可識別性,EDA可以實現(xiàn)對VHDL的設計語言重新進行整合、升級,并用門級網(wǎng)表將其表示出來。

3.2 基于VHDL的設計實例

用一個簡單的狀態(tài)機舉例說明

4 結(jié)語

通過使用精簡描述語言VHDL進行數(shù)字電子電路設計,不僅能夠完成各種邏輯復雜、規(guī)模龐大的數(shù)據(jù)運算,更可以有效地將設計周期大大縮短,提高整個系統(tǒng)的可靠性以及集成度。

參考文獻

[1] 于玲.EDA應用課程教學改革分析[J].遼寧工業(yè)大學學報(社會科學版),2012,(1):136-138.

[2] 王彩鳳,胡波,李衛(wèi)兵,杜玉杰.EDA技術(shù)在數(shù)字電子技術(shù)實驗中的應用[J].實驗科學與技術(shù),2011,(1):782-783.

[3] 李曉敏,徐濤.EDA技術(shù)在“數(shù)字電子技術(shù)”課程中的應用[J].中國科技信息,2011,(9):167-169.

第2篇:電路設計開發(fā)流程范文

關(guān)鍵詞:掃頻信號;發(fā)生器;研究

一、引言

在電子技術(shù)領域,常需要波形、頻率、幅度都可調(diào)節(jié)的電信號,用于產(chǎn)生這種電信號的電子測量儀器稱作信號發(fā)生器。

信號發(fā)生器是一種常用的信號源,廣泛應用于電子電路、自動控制和科學實驗等領域。作為一種為電子測量和計量提供電信號的設備,它和萬用表、示波器、頻率計等儀器一樣,是最普通、最基本,也是應用最廣泛的電子儀器之一,幾乎所有電參量的測量都需要用到信號發(fā)生器。從本質(zhì)上看,測量是一個將客觀物理量轉(zhuǎn)換成測試信息量的變換過程。當測試對象為系統(tǒng)性能參數(shù)時,通常采用如下測量方法:在測試系統(tǒng)中,系統(tǒng)參數(shù)的測量基于輸入激勵和輸出響應的對應關(guān)系,這種方法被稱作“激勵―響應”法,如圖1所示。

隨著科學實驗研究需求的不斷發(fā)展,傳統(tǒng)的信號發(fā)生器在一些特定的場合已經(jīng)不能滿足要求,由此可以再我們研究的基礎上進行任意信號的函數(shù)信號發(fā)生器的設計。

二、設計意義及設計總體方案

正弦信號發(fā)生器是在電子電路設計、自動控制系統(tǒng)和儀表測量校正調(diào)試中應用很多的一種信號發(fā)生裝置和信號源,屬于數(shù)字信號發(fā)生器。而正弦信號是一種頻率成分最為單一的常見信號源,任何復雜信號(例如聲音信號)都可以通過傅里葉變換分解為許多頻率不同、幅度不等的正弦信號的疊加,它的應用領域很廣范。

本文結(jié)合不同正弦信號發(fā)生器的工作原理,最終決定采用這種方案進行設計,即先由8位計數(shù)器構(gòu)成的地址發(fā)生器產(chǎn)生地址進而使正弦波數(shù)據(jù)存儲ROM輸出相應地址中的波信號數(shù)據(jù),再進行相應的數(shù)模轉(zhuǎn)換,從而達到輸出正弦波信號的目的。

三、VHDL語言介紹

VHDL全名Very-High-Speed Integrated Circuit Hardware Description Language,誕生于1982年。1987年底,VHDL被IEEE和美國國防部確認為標準硬件描述語言 。VHDL和Verilog作為IEEE的工業(yè)標準硬件描述語言,得到眾多EDA公司支持,在電子工程領域,已成為事實上的通用硬件描述語言。

VHDL語言是一種用于電路設計的高級語言。它在80年代的后期出現(xiàn)。最初是由美國國防部開發(fā)出來供美軍用來提高設計的可靠性和縮減開發(fā)周期的一種使用范圍較小的設計語言 。

VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。除了含有許多具有硬件特征的語句外,VHDL的語言形式、描述風格以及語法是十分類似于一般的計算機高級語言。VHDL的程序結(jié)構(gòu)特點是將一項工程設計,或稱設計實體(可以是一個元件,一個電路模塊或一個系統(tǒng))分成外部(或稱可視部分,及端口)和內(nèi)部(或稱不可視部分),既涉及實體的內(nèi)部功能和算法完成部分。在對一個設計實體定義了外部界面后,一旦其內(nèi)部開發(fā)完成后,其他的設計就可以直接調(diào)用這個實體。這種將設計實體分成內(nèi)外部分的概念是VHDL系統(tǒng)設計的基本點。

四、QuartusⅡ軟件

Quartus II 是Altera公司的綜合性PLD/FPGA開發(fā)軟件,支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多種設計輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設計輸入到硬件配置的完整PLD設計流程。

Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl腳本完成設計流程外,提供了完善的用戶圖形界面設計方式。具有運行速度快,界面統(tǒng)一,功能集中,易學易用等特點。

Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模塊庫,使用戶可以充分利用成熟的模塊,簡化了設計的復雜性、加快了設計速度。對第三方EDA工具的良好支持也使用戶可以在設計流程的各個階段使用熟悉的第三方EDA工具。

Altera Quartus II 作為一種可編程邏輯的設計環(huán)境, 由于其強大的設計能力和直觀易用的接口,越來越受到數(shù)字系統(tǒng)設計者的歡迎。

基于Quartus II進行EDA設計開發(fā)的流程包括以下步驟。

設計輸入:包括原理圖輸入、HDL文本輸入、EDIF網(wǎng)表輸入及波形輸入等幾種方式。

編譯:先根據(jù)設計要求設定編譯方式和編譯策略,如器件的選擇、邏輯綜合方式的選擇等。然后根據(jù)設定的參數(shù)和策略對設計項目進行網(wǎng)表提取、邏輯綜合、器件適配,并產(chǎn)生報告文件、延時信息文件,供分析、仿真和編程使用。

仿真:包括功能仿真、時序仿真和定時分析,可以利用軟件的仿真功能來驗證設計項目的邏輯功能和時序關(guān)系是否正確。

編程與驗證:用得到的編程文件通過編程電纜配置PLD,加入實際激勵,進行在線測試。

五、正弦函數(shù)信號發(fā)生器的設計

本文結(jié)合不同正弦信號發(fā)生器的工作原理,最終決定采用如下設計方案:

即先由8位計數(shù)器構(gòu)成的地址發(fā)生器產(chǎn)生地址進而使正弦波數(shù)據(jù)存儲ROM輸出相應地址中的波信號數(shù)據(jù),再進行相應的數(shù)模轉(zhuǎn)換,從而達到輸出正弦波信號的目的。

(一)設計方案概括

(1)正弦波的產(chǎn)生原理是利用不斷掃描讀取給定編程數(shù)據(jù)需來實現(xiàn)的,那么就需要定制LPM_ROM出來,從而將波形數(shù)據(jù)存所定制的ROM中;

(2)波形數(shù)據(jù)存儲好后,就需要一地址信號發(fā)生器,由計數(shù)器構(gòu)成,來選擇已經(jīng)定制好的ROM中的相應地址位;

(3)波形輸出需要一個8位DA轉(zhuǎn)換裝置,可以選擇DAC0832

簡而言之,就是利用已經(jīng)定制好的LPM_ROM,再結(jié)合相應的由計數(shù)器構(gòu)成的地址信號發(fā)生器來掃描讀取相應的波形數(shù)據(jù),從而進行波形顯示。

(二)課程設計解決方案的原理框圖

第3篇:電路設計開發(fā)流程范文

論文關(guān)鍵詞:模塊教學,制作,電子鐘

 

單片機技術(shù)作為現(xiàn)代電子技術(shù)的重要基礎,廣泛應用于工業(yè)過程控制,機電一體化產(chǎn)品,智能儀器,家用電器、計算機網(wǎng)絡及通信等方面,是各類控制系統(tǒng)的核心?!秵纹瑱C控制技術(shù)》是在前面所學《單片機基礎1》和《單片機基礎2》教學模塊的基礎上,進行小型單片機電子產(chǎn)品軟硬件設計和制作的教學模塊。通過本模塊的學習,培養(yǎng)學生掌握單片機技術(shù)在日常生活中的應用,鍛煉學生動手實踐能力、創(chuàng)新能力和新產(chǎn)品設計開發(fā)能力,為將來從事單片機新產(chǎn)品設計開發(fā)、檢測和維護等工作奠定堅實的基礎。

一、教學實施設想

依據(jù)單片機系統(tǒng)的開發(fā)研制過程,模塊《單片機控制技術(shù)》可分為單片機系統(tǒng)硬件電路設計與調(diào)試和單片機程序設計與調(diào)試兩個部分,在綜合應用階段將二者融為一體。通過本模塊的學習,使學生掌握單片機硬件設計和程序設計的相關(guān)知識,熟悉單片機應用系統(tǒng)的組成和開發(fā)方法,懂得單片機系統(tǒng)調(diào)試與維護技術(shù),并在實際制作的基礎上制作,了解單片機控制的電子產(chǎn)品生產(chǎn)工藝和生產(chǎn)管理方法。

在“教、學、做”一體的教學過程中,通過分組實施,提高學生的溝通能力、團隊合作及協(xié)調(diào)能力,提高學生嚴謹?shù)倪壿嬎悸罚b密的工作方式和強烈的責任意識。教學實施按照3個階段逐級深入:①基礎知識復習講解;②基本應用訓練;③綜合實際制作。

二、教學內(nèi)容設計

曾經(jīng)有人這樣說過,如果用數(shù)碼管和按鍵,做一個可以調(diào)整時間的電子鐘出來,那么你的單片機就算入門了60%了。我認為這句話是有道理的?;趩纹瑱C技術(shù)的實時時鐘能夠涵蓋單片機課程的大部份知識點,對單片機知識的應用,其綜合度是相當高的。

本模塊以4位數(shù)碼管實時時鐘的硬件電路和程序設計為載體,以8位數(shù)碼管實時時鐘的設計和制作為任務驅(qū)動,將單片機有關(guān)知識點融入“教、學、做”一體,采用分組實施,逐級深入的方式,重點培養(yǎng)學生應用單片機知識進行小型電子產(chǎn)品的設計、調(diào)試和制作能力。

本模塊按照教學計劃,可以分為5個學習情境:

1)單片機最小系統(tǒng)軟硬件設計

以4位數(shù)碼管實時時鐘為例,講授單片機最小系統(tǒng)的軟硬件設計方法核心期刊目錄。

2)單片機定時與中斷功能的應用

以含四個按鍵和4位數(shù)碼管的可以調(diào)整時間的實時時鐘為例,講授單片機定時與中斷功能的實現(xiàn)方法。

3)單片機與數(shù)碼管顯示器接口的設計

以4位數(shù)碼管實時時鐘為例,講授單片機與數(shù)碼管顯示器的接口設計方法。

4)單片機與LCD顯示器1602接口的設計

以一片1602作為單片機實時時鐘顯示屏為例,講授單片機與LCD顯示器1602接口的設計方法。

5)制作單片機電子鐘

在教師指導下,應用單片機中斷、定時技術(shù),通過調(diào)整鍵、加1鍵、減1鍵、確定鍵四個按鍵,用8位數(shù)碼管(或用一片1602)制作一個可以調(diào)整時間的電子時鐘,顯示格式為:時-分-秒 XX-XX-XX。

通過以上5個學習情境的訓練,學生最終完成1臺具有調(diào)時功能的單片機電子鐘作品,并以作品的完成情況和完成過程進行考核評價。

三、思考與展望

1、模塊《單片機控制技術(shù)》 以單片機控制的電子鐘的設計制作為載體,將單片機多個知識點串連到一起,按照由淺到深逐級深入,培養(yǎng)學生團結(jié)協(xié)作、細致耐心、動腦動手等能力,全方位地將知識性、趣味性、實用性融為一體,引導學生自主學習,理論聯(lián)系實際,制作實用的單片機電子小產(chǎn)品。

2、“單片機工作室”是我系單片機開發(fā)應用的“第二課堂”制作,對于已不能滿足模塊課程教學內(nèi)容的優(yōu)秀學生,要依托“單片機工作室”,注重單片機優(yōu)秀人才的培養(yǎng),提高他們參與創(chuàng)新實踐的能力,特別是在參加市、省各項課外科技競賽活動和技師班課程設計及畢業(yè)論文設計中,為學生采用單片機技術(shù),設計開發(fā)作品提供有力的支持。

3、今后要不斷延伸教學模塊。要與合作企業(yè)共同制定教學實訓項目,按照企業(yè)標準將“教室與實訓室”、“教師與師傅”、“學生與學徒”、“作業(yè)與作品”四者合一的開展單片機教學。在與企業(yè)共建校外實習基地的基礎上,依據(jù)企業(yè)標準將單片機實用項目的開發(fā)設計過程融入教學,實現(xiàn)仿真企業(yè)環(huán)境條件下的教學,突出技術(shù)應用的職業(yè)性。

4、要積極整合校內(nèi)技術(shù)優(yōu)勢,積極開展對外技術(shù)服務,強化課外“產(chǎn)學研”與課內(nèi)“教學做”的相互滲透。由單片機課程專任教師、企業(yè)技術(shù)人員與部分學生共同組成項目組,開發(fā)研制科技含量高、低成本、測量數(shù)據(jù)準確、使用簡單、實用的單片機產(chǎn)品,使學生不斷積累單片機產(chǎn)品的開發(fā)經(jīng)驗,熟悉企業(yè)生產(chǎn)開發(fā)流程,深刻理解企業(yè)對人才的具體要求,直接接觸社會流行技術(shù),實現(xiàn)學校教育與社會需求之間的無縫對接。

第4篇:電路設計開發(fā)流程范文

“電子技術(shù)基礎”包括模擬電子技術(shù)和數(shù)字電子技術(shù)兩門主要課程,是理工科相關(guān)專業(yè)的技術(shù)基礎課程,也是生物醫(yī)學工程專業(yè)的重要專業(yè)基礎課和技術(shù)基礎課。生物醫(yī)學工程專業(yè)開設“電子電路課程設計”課程,對提高學生的電路設計能力、硬件制作能力和系統(tǒng)調(diào)試能力,以及培養(yǎng)學生發(fā)現(xiàn)問題、分析問題、解決問題的能力具有非常重要的意義。如何利用科學的選題在較短的時間內(nèi)訓練和提高學生的這些能力,并有意識地培養(yǎng)學生的創(chuàng)新意識和科研能力,是該課程在教學過程中重要的教學研究課題。[4-6]

一、生物醫(yī)學工程專業(yè)“電子電路課程設計”教學中存在的問題

由于生物醫(yī)學工程專業(yè)的特殊性,目前在生物醫(yī)學工程專業(yè)的“電子電路課程設計”教學過程中,普遍存在以下幾個問題:

1.課程設計的選題沒有考慮專業(yè)特點,實施的目的性不強,與專業(yè)的整體發(fā)展建設結(jié)合較差,達不到課程設計要求

一個突出的問題是,課程設計的選題大部分是沿用電子信息類專業(yè)的傳統(tǒng)選題,如多級低頻阻容耦合放大器、功率放大器、語音放大器、函數(shù)發(fā)生器、交直流放大器、數(shù)字電子鐘、定時器、智力競賽搶答器、簡易數(shù)字電容測試儀等選題,這些題目與生物醫(yī)學工程專業(yè)的聯(lián)系較少。這樣既不能體現(xiàn)專業(yè)特點,也不能提高學生的興趣,從而使得學生對所學理論知識不能很好地運用于實際,造成與實踐的脫節(jié)。

2.課程設計內(nèi)容不完善,所設計的內(nèi)容不能充分體現(xiàn)課程設計的目標

“電子技術(shù)課程設計”課程應該是由許多關(guān)鍵環(huán)節(jié)構(gòu)成的一個整體,從多個方面訓練和提高學生的能力和素質(zhì)。但原有的教學過程中,往往會忽略其中的一些重要環(huán)節(jié)。這些問題表現(xiàn)在:只要求學生完成電路制作,對于任務分析、方案選擇、分析計算要求較少,把課程設計簡化成操作實訓;不重視測試和數(shù)據(jù)分析,不能充分鍛煉學生分析問題和解決問題的能力;不注重使用設計軟件和選擇流行器件,只使用過時的器件,甚至老舊的分立元件,制作的電路達不到任務要求。這些對于提高課程設計的效果都有不利的影響,導致學生實際動手能力練習不夠、電路設計能力偏低、綜合調(diào)試能力不高。

3.評價方法和標準簡單,隨意性大

教學過程中沒有嚴格的評價標準,課程成績評定基本上流于形式,從而造成課程設計質(zhì)量下降。

在這種情況下,培養(yǎng)出來的學生普遍存在電路設計能力和系統(tǒng)調(diào)試能力不足,發(fā)現(xiàn)問題、分析問題、解決問題的能力偏低,這樣培養(yǎng)出來的學生難以在工程設計領域中發(fā)揮獨當一面的作用,不能快速適應社會要求。

二、“電子電路課程設計”的改革思路和實踐

幾年來,在“電子電路課程設計”教學過程中進行了幾點改革嘗試,取得了較好的教學效果。

1.明確專業(yè)培養(yǎng)目標,構(gòu)建課程設計選題庫

“電子電路課程設計”是電子信息類專業(yè)的傳統(tǒng)課程,有大量的課程設計選題,但這些選題中,大部分與生物醫(yī)學工程專業(yè)和生物醫(yī)學電子技術(shù)課程的教學內(nèi)容和要求有較大的區(qū)別。為此,學院組織教師從眾多的課程設計選題中,選出若干與專業(yè)相關(guān)的訓練內(nèi)容,進行加工改造,并對每一個設計選題提出具體的訓練要求和目標,構(gòu)成課程設計選題庫。題庫中題目所涉及到的課程內(nèi)容和設計內(nèi)容的統(tǒng)計分析見表1。從表中可以看出,與生物醫(yī)學工程專業(yè)的教學內(nèi)容密切相關(guān)的選題占總選題的72%,這樣就形成了有專業(yè)特色的電子電路課程設計內(nèi)容和要求。

另外還結(jié)合專業(yè)的特色,對與醫(yī)療儀器密切相關(guān)的設計,如測量心電、腦電、心音、血氧飽和度、脈搏波等信號的電子系統(tǒng)的采集電路部分,要求學生做成完整的模塊,作為以后系統(tǒng)課程設計的子模塊。

2.以學生為主體,改革傳統(tǒng)課程設計指導方式

改變過去教師全程指導,有問必答,甚至直接給出參考電路的指導方式。教師在給出選題和要求后,將學生分成若干小組,每個小組在選題范圍內(nèi)選定設計題目。學生自己查閱資料,提出方案,獨立設計,最終完成設計并進行完整的調(diào)試和測試。在整個課程設計過程中,教師每周留出固定或靈活的課堂答疑時間,回答學生提出的問題或啟發(fā)學生提出問題,直至課程設計結(jié)束。

3.充分發(fā)揮學生潛能,加深加寬課程設計的訓練內(nèi)容并提高要求

在課程設計過程中,教師提出設計的目的和要求后,實驗室只負責提供材料及儀器,其他工作全部由學生自己完成。為了更多地訓練學生的綜合素質(zhì),學生需要獨立完成實踐步驟確定、任務分析、方案選擇、電路設計、元件選擇、電路布線、印刷板設計及制造、元件測試、電路焊接、系統(tǒng)調(diào)試、測試方案設計、電路測試等訓練步驟,并將這些步驟作為課程考核的訓練點(見表2)。通過這種完整的訓練過程,學生不僅能夠初步掌握電子產(chǎn)品的設計開發(fā)流程,還能較好地鍛煉自己的專業(yè)素養(yǎng)。

4.重視現(xiàn)代電子技術(shù)的發(fā)展和應用,鼓勵學生掌握和使用工具軟件和最新芯片

做到軟件和硬件結(jié)合,學生除了完成電路設計以及硬件的焊接、安裝、調(diào)試外,還需要至少掌握一種印刷電路板設計軟件和一種電路仿真軟件,有條件的學生還應掌握一種數(shù)字電路設計軟件(如EDA軟件)。學生既要熟練掌握電阻、電容、電感、二極管、三極管等分立元件的選擇和使用外,還應盡量掌握和使用最新的集成芯片,以進一步訓練工程設計能力。這樣,電子電路課程設計可以達到更好的教學效果。

5.培養(yǎng)學生興趣,將課程設計與創(chuàng)新課題訓練相結(jié)合

鼓勵教師將本科創(chuàng)新課題、教師科研課題等進行簡化、分割,形成適合課程設計的課題,供學生選擇。鼓勵學生進行電子產(chǎn)品整機設計、開發(fā)、組裝、調(diào)試,并且組織學生共同交流,互相學習,不斷提高。

三、結(jié)束語

“電子技術(shù)”課程的理論性和實踐性都很強,而“電子電路課程設計”作為教學過程中的重要一環(huán),體現(xiàn)出了越來越重要的作用。對該課程進行的一系列教學改革實踐,取得了良好的效果。按照改革后的教學模式,“電子電路課程設計”不斷能夠鞏固課堂上所學的理論知識,加深學生對課堂抽象概念的理解,提高了學生的設計能力和創(chuàng)新能力,還能使學生對生物醫(yī)學工程專業(yè)的認識更加明確具體,這些都有利于培養(yǎng)出理論基礎扎實、實際工作能力強的高素質(zhì)生物醫(yī)學工程專業(yè)人才。

參考文獻:

[1]John D.Enderle.生物醫(yī)學工程學概論[M].封洲燕,譯.北京:機械工業(yè)出版社,2010.

[2]李剛,張旭.生物醫(yī)學電子學[M].北京:電子工業(yè)出版社,2008.

[3]余學飛.現(xiàn)代醫(yī)學電子儀器原理與設計[M].第二版.華南理工大學出版社,2007.

[4]劉劍,楊立才,劉常春.“生物醫(yī)學傳感器與測量”課程教學改革探索[J].電氣電子教學學報,2011,(1):15-17.

第5篇:電路設計開發(fā)流程范文

[關(guān)鍵詞]自適應前照燈系統(tǒng);主控單元;數(shù)據(jù)采集

中圖分類號:U463.651 文獻標識碼:A 文章編號:1009-914X(2014)20-0282-02

1.引言

中國的汽車產(chǎn)業(yè)在飛速的發(fā)展,同時帶來的也有交通事故的頻繁發(fā)生,而由于駕駛員視線問題造成的事故比重占了一大半。前照燈是為駕駛員在夜間或者特殊環(huán)境下提供照明的,照明的好壞直接影響了駕駛員的安全。我國現(xiàn)有的傳統(tǒng)照明系統(tǒng)存在諸多問題。在彎道和特殊環(huán)境下不能提供充足的照明,而AFS系統(tǒng)可以實現(xiàn)。現(xiàn)如今很多高檔車如奧迪A4,寶馬5系等已經(jīng)安裝此系統(tǒng)。所以,AFS系統(tǒng)的研發(fā)是汽車工業(yè)的發(fā)展方向。

2. 總體方案設計及分析

本汽車前照燈自適應系統(tǒng)是由車速傳感器、轉(zhuǎn)角傳感器、LIN總線、主控單元(MSP430F149)、步進電機和前照燈所組成的。在汽車行駛過程當中,通過轉(zhuǎn)角傳感器進行方向盤轉(zhuǎn)角的數(shù)據(jù)采集,和車速傳感器進行汽車速度的數(shù)據(jù)采集,將所得數(shù)據(jù)通過多輸入輸出通道傳遞到主控單元(MSP430F149)中,在其內(nèi)部進行控制策略的精確計算,控制步進電機左右方向轉(zhuǎn)動,從而完成對汽車前照燈左右方向的控制[1]。AFS系統(tǒng)原理框圖如圖1所示。

3.燈水平轉(zhuǎn)角與方向盤轉(zhuǎn)角量、車速關(guān)系

汽車的安全制動距離是汽車轉(zhuǎn)彎時前照燈轉(zhuǎn)動角度的重要依據(jù),因此在汽車轉(zhuǎn)彎時,應先計算出制動距離,而車燈轉(zhuǎn)動多少角度是由車速和轉(zhuǎn)角弧度所決定的。車速傳感器可提供車速,弧度既是彎道的半徑,通過轉(zhuǎn)角傳感器和前后輪的轉(zhuǎn)動角度,依據(jù)阿克曼原理可計算出其實際的轉(zhuǎn)彎半徑。本文以此建立的汽車轉(zhuǎn)彎時的模型[2]。

a)阿克曼原理:

阿克曼汽車轉(zhuǎn)動原理是指汽車前后輪的軸心垂直延長線必交于一點(Z點),如圖2所示,圖中線段ZX為轉(zhuǎn)彎半徑,其值為彎道的半徑R,車輛的前后軸間距為D,外側(cè)前輪轉(zhuǎn)向的角度為B[2]。

通過以上彎道半徑、汽車速度和車燈轉(zhuǎn)角的幾何關(guān)系,可以表明汽車在行駛的過程中,方向盤的轉(zhuǎn)角與車燈轉(zhuǎn)角是成正比關(guān)系。車速在方向盤轉(zhuǎn)角為定值時,車速和車燈轉(zhuǎn)角也成正比的關(guān)系。將以上關(guān)系帶入AFS系統(tǒng)的設計當中,使理論和實踐更好的結(jié)合,更精確地確定的轉(zhuǎn)動的狀態(tài),從而確定車輛轉(zhuǎn)向模式,更加安全、智能化。

4.硬件電路設計

4.1 單片機最小系統(tǒng)設計

整個系統(tǒng)的核心控制部分為單片機電路,通過單片進控制來實現(xiàn)電機驅(qū)動從而帶動前照燈調(diào)節(jié)。本文的主要控制單元選用的是MSP430F149型的單片機,體積很小且結(jié)構(gòu)簡單,性價比較高,生產(chǎn)和調(diào)試都較為方便,具有16位RISC結(jié)構(gòu),有低速和高速兩種振蕩器,其正常工作電壓,可以在1.8V-3.6V間工作,如圖4所示。由于電源的輸入的不定向紋波會影響到單片機,所以我們設計進行濾波。而且我們應考慮到抗干擾等問題。因此,本系統(tǒng)中受到的干擾會比較小,在單片機的模擬電源輸入端增加一個濾波電容用來減小干擾。所以,我們讓模擬地和數(shù)字地接到一起共地。

4.2 轉(zhuǎn)角傳感器

轉(zhuǎn)角傳感器有很多種,基于不同原理有光電式,電阻式,電磁式等,各有其優(yōu)點及缺點。在本系統(tǒng)中,轉(zhuǎn)角傳感器選用的是基于光電式的編碼器(EPC-755A),而其中鑒相電路則是由與非門與觸發(fā)器所構(gòu)成的,同時應用了3片74LS193相連接而構(gòu)成了計數(shù)電路[1],在光電編碼器工作過程當中,通過輸出通道波形的前后判斷其工作在順時針還是逆時針,如通道A波形信號超前,則其在順時針轉(zhuǎn)動,如通道A波形信號滯后,則其在逆時針轉(zhuǎn)動,同時觸發(fā)器的輸出信號出現(xiàn)兩種不同的高低電平,計數(shù)脈沖將通過上、下的與非門輸送數(shù)據(jù)到計數(shù)電路當中。最后傳送到處理電路中,其電路原理圖如圖5所示[3]。

4.3 車速信號處理電路

從汽車的里程表里引出汽車的電壓信號,該設計的電壓為12V。由于單片機可以處理在5V信號電平,所以速度信號電路主要完成信號的電平匹配設計,信號轉(zhuǎn)換成數(shù)字信號的5V,12V,利用光電藕合器將輸出信號送入控制單元并進行計數(shù)。本系統(tǒng)的車速信號處理電路原理如圖6所示[7]。

4.4 驅(qū)動電路的設計

LIN總線將傳感器采集的數(shù)據(jù)信號傳入到控制單元當中,通過內(nèi)部的精確計算,從而實現(xiàn)控制步進電機轉(zhuǎn)動,它會通過定位指令,正確啟動或停止步進馬達,將其設定在最短的時間點。也會將出現(xiàn)的問題反饋給管理和內(nèi)部行動和決定的報告到LIN接口。此AMIS-30623包括以下內(nèi)容:為LIN協(xié)議處理程序,內(nèi)部振蕩器,以及控制邏輯和控制所需的PWM。內(nèi)部參考電壓進行精確修剪源,一個保護塊具有熱關(guān)機電路。5V穩(wěn)壓器(從電池供電)提供的內(nèi)部邏輯電路。本系統(tǒng)的步進電機驅(qū)動電路如圖7所示[1]。

5.系統(tǒng)主程序設計

硬件電路的正常運行必須有軟件的配合,在軟件程序設計開發(fā)工作中,主要是搭建硬件電路板,使其結(jié)構(gòu)合理、減小面積,硬件結(jié)構(gòu)搭建完成后,需要軟件程序去支撐完成功能,所以軟件程序,軟件功能的完善關(guān)系到硬件系統(tǒng)的可靠穩(wěn)定性。通過系統(tǒng)初始化、編程、中斷定時進行判斷,如不符合條件,將初始化系統(tǒng),再次進行判斷,直至判斷符合條件為止等一系列的運作,來控制步進電機的轉(zhuǎn)動,主程序流程如圖9所示[1]。

6.結(jié)束語

本文通過總體方案的設計、控制策略建模、硬件電路設計、軟件電路流程設計、實現(xiàn)了汽車前照燈自適應系統(tǒng)的設計,通過信號的采集、傳送、處理,完成汽車前照燈的智能轉(zhuǎn)動。但仍有很多地方如傳感器的增加,總線的選擇,單片機的工作效率,光線的變換等需要改進。能夠在不同的行駛環(huán)境中做到燈光的自由變換,是我們的研究目標,同時為給駕駛員提供一個更加安全可靠的駕駛環(huán)境,也會降低交通事故發(fā)生率,所以汽車前照燈自適應系統(tǒng)的研究將是今后汽車電子工業(yè)的重要研究課題。

參考文獻

[1] 束華明,高明煜,王園園. 基于單片機控制的汽車前照燈自適應系統(tǒng)[J].電子測量與儀器學報,2008(增刊):318-321.

[2] 朱麗.基于PSoC的汽車前照燈智能控制系統(tǒng)研究[D]:[碩士學位論文].長春理工大學,2013 .

[3] 徐科軍.傳感器與檢測技術(shù)[M].北京;電子工業(yè)出版社,2008.2.

[4] 崔濤,劉剛.故障樹分析法在汽車故障診斷中的應用[J].長春工業(yè)大學學報,2009:661-664.

[5] 侯天偉, 盛立峰, 史國計, 楊玉春.基于虛擬儀器的汽車電子設備測試系統(tǒng)[J].長春工業(yè)大學學報,2008,32(5):546-549.

[6] 勒偉,廖延彪,導波光學傳感器原理與技術(shù)[M].北京:科學出版社,1998

[7] 楊財,周艷霞.方向盤轉(zhuǎn)角傳感器研究進展[J].傳感器與微系統(tǒng),2007,26(11):1-4.

第6篇:電路設計開發(fā)流程范文

1電子產(chǎn)品開發(fā)概述

電子產(chǎn)品的開發(fā)離不開企業(yè)這個實體,開發(fā)項目管理理念需要結(jié)合企業(yè)的自身實際情況,如企業(yè)的行政組織架構(gòu),開發(fā)項目團隊的組織架構(gòu)等來制定最適合企業(yè)的一套產(chǎn)品開發(fā)項目管理流程。本文以圖1所示的開發(fā)項目團隊組織架構(gòu)框來闡述電子產(chǎn)品開發(fā)項目管理流程。產(chǎn)品開發(fā)整體流程實際上包含一系列階段步驟,把一組需求和思想轉(zhuǎn)化為市場上成功產(chǎn)品的流程。本文介紹的電子產(chǎn)品開發(fā)項目整體流程框圖如圖2所示。由圖2所述,電子產(chǎn)品開發(fā)首先要進行市場調(diào)研階段對產(chǎn)品作出準確的市場定位,項目管理者需要進行產(chǎn)品評估設計階段仔細分析產(chǎn)品功能指標、性能指標、技術(shù)參數(shù)、系統(tǒng)規(guī)格確定準確的項目開發(fā)文檔作為產(chǎn)品開發(fā)的輸入,需要對整體設計進行開發(fā)計劃的制定,系統(tǒng)規(guī)格等進行產(chǎn)品開發(fā)目標的確定,同時組織設計開發(fā)項目團隊成員分配,設計開發(fā)人員項目責任分配,制定團隊各成員的詳細準確的設計參數(shù)任務書,設置各個階段時間節(jié)點,進行產(chǎn)品成本、時間的控制目標和措施,生產(chǎn)過程中文件控制的實施,產(chǎn)品標準化制定計劃等。隨后進行產(chǎn)品設計實施階段,進行設計評審、開發(fā)執(zhí)行,接著進入制作ES樣機階段制作樣機進行測試,測試成功隨后進行產(chǎn)品小批量生產(chǎn)階段進行生產(chǎn)小批量樣機測試,產(chǎn)品大批量生產(chǎn)階段,產(chǎn)品更新維護階段直至產(chǎn)品全生命周期結(jié)束。

2電子產(chǎn)品開發(fā)技術(shù)的詳細流程

2.1硬件設計流程

產(chǎn)品硬件設計流程如圖3所示,硬件項目組根據(jù)產(chǎn)品的技術(shù)定義,準確的系統(tǒng)技術(shù)參數(shù)規(guī)格、功能指標、電氣性能指標等,進行硬件電路實現(xiàn)方案的設計工作,方案的設計可以提出幾套實現(xiàn)方案,最好能引用原有生產(chǎn)產(chǎn)品上的經(jīng)典的電路模塊從而更有力保證產(chǎn)品的設計的穩(wěn)定可靠性,項目協(xié)調(diào)員組織相關(guān)責任人進行硬件電路設計的評審,評審的原則是以最低的成本最可靠的方案為原則進行方案選定。選定后由硬件工程師進行原理圖的設計,設計完成后需要進行原理圖的評審工作,評審合格后再進行PCBlayout設計,在進行PCB設計的同時硬件工程師需要與結(jié)構(gòu)工程師一同協(xié)調(diào)確定產(chǎn)品的開口,孔位,接口位置等信息進行PCB設計。PCB設計完成后需要進行PCB圖的評審,PCB評審成功后再進行BOM表的整理,進行元器件的采購,焊接PCB后與軟件設計人員進行硬件單板功能調(diào)試工作,與結(jié)構(gòu)設計人員進行裝配組裝調(diào)試,發(fā)現(xiàn)問題填寫問題報告,反饋協(xié)調(diào)到具體的相關(guān)設計人員進行整改工作。最后輸出的原理圖、PCB、BOM表等資料歸檔作為下一階段產(chǎn)品ES樣機資料發(fā)放的輸入。

2.2軟件設計流程

產(chǎn)品軟件設計流程圖如圖4所示,軟件項目組軟件系統(tǒng)需求分析得出的系統(tǒng)需求說明按軟件設計流程進行軟件方面的設計工作,設計的方案首先根據(jù)具體的硬件設計電路模塊進行各個模塊的軟件設計驅(qū)動及測試工作,如發(fā)現(xiàn)問題及時反饋給硬件設計人員進行協(xié)商修改,如果沒問題則提出系統(tǒng)軟件框架的設計方案,項目協(xié)調(diào)員組織相關(guān)責任人進行軟件方案評審,評審的時候需要仔細根據(jù)需求實現(xiàn)的技術(shù)細節(jié)來核實軟件是否能達到相應的技術(shù)指標。評審成功后則根據(jù)具體的功能實現(xiàn)模塊逐個進行軟件設計,每個功能模塊設計完成后,再進行軟件整體模塊代碼兼容軟件集成設計調(diào)試工作,調(diào)試成功后需要在幾套硬件上進行反復的測試,測試完成各方面達到系統(tǒng)要求指標后進行程序整理歸檔及初次發(fā)放版本管理。最后輸出的軟件說明文件、源程序、燒錄程序等作為下一階段ES樣機資料發(fā)放的輸入。

2.3結(jié)構(gòu)設計流程

根據(jù)產(chǎn)品的技術(shù)定義,提出的準確的系統(tǒng)參數(shù)規(guī)格,結(jié)構(gòu)項目組進行結(jié)構(gòu)設計工作,根據(jù)產(chǎn)品的外觀要求,整體尺寸大小、開孔位置、按鍵、LED燈、屏的位置、端子開孔、電氣要求等,選擇合適的殼體,進行結(jié)構(gòu)圖紙的繪制,繪制的過程中需要與硬件設計人員一同確定產(chǎn)品的一些細節(jié)問題,繪制完成后通過軟件模擬,模擬成功項目協(xié)調(diào)員協(xié)調(diào)相關(guān)責任人進行結(jié)構(gòu)設計方案的評審,評審成功后進行結(jié)構(gòu)圖紙的釋放進行快速成型制作一套結(jié)構(gòu)結(jié)合PCB板、結(jié)構(gòu)開孔、按鍵、屏、端子等進行組裝測試。測試沒有問題后進行結(jié)構(gòu)圖紙的歸檔工作,最后輸出的結(jié)構(gòu)裝配圖、部裝總裝文件等作為下一階段ES樣機資料發(fā)放的輸入。

2.4產(chǎn)品ES樣機流程

產(chǎn)品ES樣機流程如圖6所示,技術(shù)工程部在產(chǎn)品開發(fā)設計實施階段完成了硬件、軟件、結(jié)構(gòu)設計之后,將硬件設計的輸出、軟件設計的輸出、結(jié)構(gòu)設計的輸出作為產(chǎn)品ES樣機的輸入文件,相關(guān)技術(shù)設計工程師完成ES樣機的測試、調(diào)試、組裝、裝配工作,同時將遇到的問題記錄到樣機問題反饋表中,隨后進行產(chǎn)品功能測試、產(chǎn)品電氣測試、產(chǎn)品整機測試,測試過程中如發(fā)現(xiàn)問題及時反饋給相關(guān)責任技術(shù)設計人員進行修改,如果沒問題則將產(chǎn)品設計文件,ES樣機反饋問題,功能測試報告,電氣測試報告,ES樣機整機檢驗報告等進行歸檔工作,同時將ES樣機進行拍照錄像存檔工作作為下一階段小批量生產(chǎn)的輸入,完成產(chǎn)品ES樣機流程。

2.5產(chǎn)品的小批量生產(chǎn)

產(chǎn)品ES樣機階段結(jié)束后,接下來的階段就是進行產(chǎn)品的小批量生產(chǎn)試制階段,工藝部門與技術(shù)工程部門進行輸入輸出文件交接工作,工藝部門根據(jù)產(chǎn)品ES樣機流程階段的輸出得到的各種歸檔資料作為產(chǎn)品小批量生產(chǎn)的輸入。產(chǎn)品小批量生產(chǎn)試制其流程如圖7所示。工藝部門獨立按計劃按流程制作小批量樣機,完成后質(zhì)檢部門QC對小批量樣機進行整機全檢,并公布遇到的所以問題,工藝部門完成解決相關(guān)問題無法解決的問題反饋到技術(shù)部門相關(guān)設計人員解決相關(guān)問題,解決完成后公布處理結(jié)果,工藝、質(zhì)檢進行協(xié)調(diào)測試直至一致通過,接著進行修改完善相關(guān)資料,最后進行工藝、質(zhì)檢、技術(shù)三部門共同認證小批量生產(chǎn)的樣機是否合格,合格則完成產(chǎn)品的小批量生產(chǎn)流程。

2.6產(chǎn)品的大批量生產(chǎn)

電子產(chǎn)品經(jīng)過工藝部門小批量生產(chǎn)后完善了產(chǎn)品的配套的工藝生產(chǎn)指導文件,但是有時在大批量生產(chǎn)會暴露出批量的相同的問題如電子元器件采購出錯,芯片批次不同造成性能不同,結(jié)構(gòu)件的加工誤差無法組裝等等,所以在大批量生產(chǎn)之前除了需要根據(jù)工程樣機及配套的工程樣機文件來指導大批量生產(chǎn)之外,在大批量生產(chǎn)進行頭幾臺生產(chǎn)時仍然需要仔細進行整機制造后進行整機全檢,持續(xù)修改完善工藝資料后,接著就將完善后的工藝資料正式轉(zhuǎn)為生產(chǎn)指導資料指導流水線進行大批量生產(chǎn)進程。大批量生產(chǎn)的流程圖如圖8所示。

2.7產(chǎn)品維護階段

產(chǎn)品開發(fā)大批量生產(chǎn)階段結(jié)束后,整個項目并未結(jié)束,此后由于客戶需求,技術(shù)更新,降低成本等因素進行產(chǎn)品修改更新,都會在原產(chǎn)品基礎上提出些設計的更新變更方案,這個階段就是項目產(chǎn)品維護更新階段,需要對項目設計更新,設計人員修改設計文檔,在ES樣機上進行測試,測試合格是否正式,正式發(fā)放升級通知及更新套件處理等,以及進行產(chǎn)品更新升級批次的管理工作等一系列跟蹤直到項目生命周期的結(jié)束。其中產(chǎn)品修改更新流程如圖9所示。

3結(jié)束語

第7篇:電路設計開發(fā)流程范文

[關(guān)鍵詞] 就業(yè);教學改革;電子技術(shù)

[中圖分類號] G646 [文獻標識碼] A

1 引言

電子技術(shù)無疑是當今應用領域最有發(fā)展前途的技術(shù)之一,現(xiàn)已被廣泛應用于工業(yè)控制系統(tǒng)、信息家電、通信設備、醫(yī)療儀器等眾多領域。而隨著社會的快速發(fā)展,整個行業(yè)對電子技術(shù)人才的需求日益旺盛。正基于此,國內(nèi)眾多高校、職業(yè)技術(shù)學院和培訓機構(gòu)紛紛開展電子技術(shù)系統(tǒng)的教學和培訓工作。但是,相對于旺盛的人才需求,行業(yè)內(nèi)人才的供給狀況,卻不容樂觀。據(jù)不完全統(tǒng)計,電信業(yè)電子技術(shù)人才的需求將會在今后一段時間內(nèi)繼續(xù)上揚。

2 適應高校電子技術(shù)方向的就業(yè)崗位調(diào)查

總體上電子技術(shù)系統(tǒng)可劃分硬件和軟件兩部分,硬件一般由高性能的微處理器和的接口電路組成,軟件一般由硬件抽象層、電子技術(shù)操作系統(tǒng)、軟件應用平臺和應用程序等組成:

硬件層:硬件是整個電子技術(shù)操作系統(tǒng)和應用程序運行的平臺,包括輸入輸出接口/驅(qū)動電路、處理器、存儲器、定時器、串口、中斷控制器、外設器件、圖形控制器及相關(guān)系統(tǒng)電路等部分。對于硬件層的設計開發(fā)要有較深的硬件開發(fā)經(jīng)驗,這些崗位一般都需要資深的硬件工程師,不太適合剛畢業(yè)的本科學生,對于在校期間有過研發(fā)經(jīng)歷的研究生比較合適。

中間層:硬件抽象層(HAL)或板級支持包(BSP),負責對各種硬件功能提供軟件接口,包括硬件初始化、時鐘管理、定時器管理、中斷處理、總線管理、內(nèi)存地址的映射,等。它位于底層硬件和操作系統(tǒng)之間,是二者之間的橋梁。這個層次的設計開發(fā)不僅要精通底層硬件結(jié)構(gòu),還要熟悉上層的操作系統(tǒng),主要工作是開發(fā)設備驅(qū)動程序。這部分工作需要有豐富的軟硬件研發(fā)經(jīng)驗才可以勝任,崗位主要針對有經(jīng)驗的本科生和研究生。

軟件層:主要包括操作系統(tǒng)和軟件應用平臺。操作系統(tǒng)主要是實現(xiàn)資源的訪問和管理,完成任務調(diào)度,支持應用軟件的運行及開發(fā)。軟件應用平臺則是為了提高開發(fā)速度與軟件質(zhì)量,一些應用提供商開發(fā)了一些可重用的應用平臺,封裝了一些常用的功能,同時提供API接口,可以在此基礎上進行二次開發(fā)。對于操作系統(tǒng)級的開發(fā)比較適合學過電子技術(shù)專業(yè)課程的研究生和本科學生。對于軟件應用平臺上的開發(fā)比較適合學過電子技術(shù)專業(yè)課程的本科生和高職學生。

功能層:主要指的是應用軟件層,位于電子技術(shù)系統(tǒng)層次結(jié)構(gòu)的最頂層,直接與最終用戶交互。針對各種特定功能來編寫應用程序,實現(xiàn)系統(tǒng)的功能應用。主要是進行大量的C、C++或Java語言編程,不需要更多涉及底層硬件,大都是基于操作系統(tǒng)之上的編程。非常適合學過電子技術(shù)專業(yè)課程的本科生和高職生。

對于從事電子技術(shù)的企業(yè)最基本的部門劃分有研發(fā)、生產(chǎn)、銷售、技術(shù)支持部門,當然還會有行政部、財務部、采購部等其他輔助部門,在這我們主要針對的是和電子技術(shù)相關(guān)的部門。但是各企業(yè)會根據(jù)各自的規(guī)模大小、產(chǎn)品類型不同、研發(fā)結(jié)構(gòu)不同等因素進一步細化部門[2]。所以每個企業(yè)招聘人才時都會根據(jù)具體工作來確定工作崗位。

銷售部和技術(shù)支持部:銷售部門一般需要大量的銷售人員,這部分人員必須懂得營銷的基本知識,外貿(mào)銷售工程師還必須有扎實的英文功底,還必須了解電子技術(shù)產(chǎn)品的基本結(jié)構(gòu)、組成,產(chǎn)品各的技術(shù)指標,以及產(chǎn)品的開發(fā)流程,這部分職位適合高職生和本科生。技術(shù)支持部技術(shù)支持工程師必須熟悉整個產(chǎn)品的開發(fā)流程,對產(chǎn)品的研發(fā)的各個環(huán)節(jié)都必須要有清楚的了解,對硬件原理、操作系統(tǒng),應用程序都要有系統(tǒng)的了解,對硬件軟件調(diào)試技術(shù)要比較全面,在職能部門中,這個是屬于技術(shù)要求比較高的,適合高職生和本科生。

生產(chǎn)部:產(chǎn)品研發(fā)成功之后將進入生產(chǎn)階段,生產(chǎn)部門是一個龐大的部門,需要大量的貼片工程師,焊接工程師,還有生產(chǎn)管理人員,這些崗位需要比較扎實的硬件基礎,動手能力要比較強,這部分職位適合中專中職學生和高職生。

有接近78%以上調(diào)查對象認為以下崗位適合高校學生[2]:銷售、技術(shù)支持工程師、軟件測試工程師、硬件測試工程師、系統(tǒng)功能測試工程師,貼片焊接工程師、硬件維修工程師;有40%-60%的調(diào)查對象認為軟件工程師(linux,C/C++)、軟件工程師(wince .net電子技術(shù))、軟件工程師(java方向、電路圖原理工程師、PCB設計工程師適合高校學生,剩下的系統(tǒng)研發(fā)類只有不到30%的人選擇。

3 適應就業(yè)形勢的崗位能力

從以上分析我們可以看出,高校學生適合的崗位主要集中在軟件研發(fā)和職能部門,重點對適合高校學畢業(yè)生的崗位進行分析,通過對企業(yè)人事部門的走訪,我們得知研發(fā)部對研發(fā)的產(chǎn)品定型后會把PCB設計圖、元器件清單等資料交付采購部和生產(chǎn)部,進行元器件采購和制板。大多企業(yè)一般都不會設立加工廠,產(chǎn)品的制板和焊接都會采取外包。在加工廠將焊接好芯片的主板取回后在生產(chǎn)部進行硬件測試和部件組裝、軟件測試、整體功能測試。

生產(chǎn)部的工作按照流程可分為焊接工程師、硬件測試工程師、軟件測試工程師、系統(tǒng)功能測試工程師、硬件維修工程師。這些工作崗位對學歷的要求都不高,工作難度不大。主要針對的就是??粕透咝W生。在課程設計和教學方面必須從這三個方面知識點來綜合考慮:

基礎部分:能閱讀簡單的英文資料,熟悉和掌握C/C++語言。

硬件部分,焊接結(jié)構(gòu)設計基礎、制造、管理,熟悉或者掌握模擬電子線路、數(shù)字電路、單片機等基本硬件電子電路設計知識;接口電路程序設計;熟悉基本的EDA工具,如SPICE、ORCAD、VIEWDRAW、MODELSIM、MAXPLUS,至少熟悉1到2種,熟悉SDL;對于32位電子技術(shù)處理器和8位單片機,熟悉其應用設計和調(diào)試方法。

系統(tǒng)部分,熟悉電子技術(shù)處理器體系結(jié)構(gòu), 電子技術(shù)芯片定義;了解Linux、Wince、uC/OS-II、Vxworks、RTOS操作系統(tǒng)知識, 電子技術(shù)操作系統(tǒng)工作原理,內(nèi)核定制與裁剪;了解設備驅(qū)動、內(nèi)存管理和文件系統(tǒng);熟悉腳本程序編程(python或perl);精通C語言,對電子技術(shù)工程實踐中常用的庫函數(shù)有較為透徹的理解;掌握代碼運行時的內(nèi)存處理情況,KGDB等內(nèi)核調(diào)試工具的使用;軟件部分, 熟悉Linux、Wince、Ucos、Vxworks等操作系統(tǒng)的各種軟件開發(fā)環(huán)境之一;熟悉C語言編程、匯編語言、C++語言、JAVA語言、.NET語言之一;熟悉GUI開發(fā)過程,熟悉網(wǎng)絡編程,多任務編程;熟悉電子技術(shù)系統(tǒng)的程序設計過程,熟悉軟件工程,熟悉各類文檔的編寫;掌握白盒測試、黑盒測試、回歸測試;掌握單元測試、集成測試、系統(tǒng)測試過程,測試的誤區(qū)和經(jīng)驗。

4 結(jié)語

高校對各類教育應給予更多的重視,高職教育的學術(shù)性不如本科教育強,而職業(yè)性又比高職教育弱,在勞動力市場競爭中難以形成自己的特點,這也許是高職生就業(yè)落實率下降的原因之一。因此,高校要明確教育的培養(yǎng)目標,調(diào)整培養(yǎng)內(nèi)容,提高教育質(zhì)量,創(chuàng)出高職特色。根據(jù)電子技術(shù)的教學內(nèi)容和課程建設的需求,教學方法與教學手段做了適當?shù)母倪M。教學方法多樣化,能夠滿足各種專業(yè)和不同學習習慣的學生學習本課程;教學手段多類型,包括課堂講授、軟件實踐操作和交流型學習。尤其是交流型學習,打破了以往單一的授課和上機實習模式,能夠提高學生的積極性和主動性。主要概括為以下幾個方面:1.課堂講授方式的改進,在課堂教學中不僅采用多媒體課件的形式展示教學內(nèi)容.也要注意利用現(xiàn)代聲光技術(shù)對實踐知識進行信息蘑現(xiàn)。如上課時播放現(xiàn)場錄像。可讓學生足不出教室。也能感受置身于施工現(xiàn)場,體會施工流程;2.作業(yè)方式的改進,在教學中可用課題報告、實訓、實習等環(huán)節(jié)取代傳統(tǒng)的平時作業(yè);3.考試方式改進。

參考文獻:

[1]陳渝,李明等.源碼開放的電子技術(shù)系統(tǒng)軟件分析與實踐——基于SKYEYE和ARM開發(fā)平臺[M].北京:北京航空航天大學出版社,2004:3-5.

[2]閡維方,丁小浩,文東茅.2005年高校畢業(yè)生就業(yè)狀況的調(diào)查分析[J].高等教育研究,25(l):31-38.

[3]岳昌君,鞏見閩,黃潞.高校畢業(yè)生就業(yè)特點及其變化趨勢平[J].北京教育發(fā)展研究,2008(7):25-26.

第8篇:電路設計開發(fā)流程范文

關(guān)鍵詞 嵌入式系統(tǒng);單片機;計算機系統(tǒng)

中圖分類號:G642.3 文獻標識碼:B

文章編號:1671-489X(2017)08-0085-02

Content Setting of Embedded System Curriculum//XU Jinglei

Abstract The MCU Course is combined with embedded system courses, taking the 51 as one of the most simple embedded processor

to learn, while learning a more advanced embedded processor STM32.

Key words embedded system; single-chip; computer system

1 嵌入式系統(tǒng)的定位

目前對于嵌入式系統(tǒng)的理解各有不同,這種情況直接導致嵌入式課程在內(nèi)容設置方面的區(qū)別。通過分析不同觀點,可以得到合適的指導原則。

一種觀點認為,嵌入式系統(tǒng)是非PC系統(tǒng),有計算機功能,但不能稱之為計算機設備或設備。它是一種以軟件和硬件為中心的專用計算機系統(tǒng),可應用于功能、可靠性、成本、體積、功耗等方面有嚴格要求的場合。簡單地說,嵌入式系統(tǒng)的硬件和軟件聯(lián)合使用,仿照BIOS的工作方式,具有自動化程度高、軟件代碼小、響應速度快的特點,特別是具有實時多任務的功能[1]。嵌入式系統(tǒng)主要由嵌入式處理器、相關(guān)支持硬件、嵌入式操作系統(tǒng)和應用軟件系統(tǒng)組成。

這種觀點看似面面俱到,實則主次不分,沒有指出嵌入式系統(tǒng)的本質(zhì),其中把嵌入式系統(tǒng)稱為專用計算機系統(tǒng)更是錯誤的,因為事實上,嵌入式系統(tǒng)也可以作為通用計算機系統(tǒng)。

另一種觀點認為,嵌入式系統(tǒng)就是ARM體系結(jié)構(gòu)、ARM指令系統(tǒng)、S3C2410嵌入式微控制器及嵌入式系統(tǒng)分析和設計等內(nèi)容[2-3]。要了解嵌入式操作系統(tǒng)的進程、進程調(diào)度、進程間通信機制及嵌入式操作系統(tǒng)的其他功能,掌握嵌入式操作系統(tǒng)LINUX的基本命令,LINUX操作系統(tǒng)下應用程序的開發(fā)與調(diào)試,LINUX操作系統(tǒng)驅(qū)動程序的調(diào)試。

這種觀點混淆了嵌入式系統(tǒng)和嵌入式操作系統(tǒng)的區(qū)別,實質(zhì)是在講如何使用LINUX嵌入式操作系統(tǒng),而這只是嵌入式系統(tǒng)的一小部分。

綜合以上觀點,可以看到對于嵌入式系統(tǒng)的定位比較混亂,正確的定位該以計算機系統(tǒng)的核心單元處理器為準,以處理器的最小尺寸為依據(jù),把整個計算機系統(tǒng)分為三大類:服務式、桌面式、嵌入式。

1)服務式指的是服務式計算機系統(tǒng),提供高等的計算性能,采用服務式處理器,如網(wǎng)站服務器、超級計算機;

2)桌面式指的是桌面式計算機系統(tǒng),提供中等的計算性能,采用桌面式處理器,如臺式機、筆記本;

3)嵌入式指的是嵌入式計算機系統(tǒng),提供低等的計算性能,采用嵌入式處理器,如手機、平板。

這種分類方法只以處理器的最小尺寸為依據(jù),標準客觀清晰,尺寸越小性能越低。處理器的最小尺寸指的是同型號前提下的最小封裝。事實上,嵌入式的詞義本身也意味著尺寸小,以便嵌入到其他設備。

至于每類計算機系統(tǒng)要做成通用還是專用,要根據(jù)實際需求而定。如手機這個嵌入式系統(tǒng),發(fā)展初期是專用的,到智能手機時期,已經(jīng)是通用系統(tǒng)了。

簡單說,嵌入式系統(tǒng)是采用嵌入式處理器的計算機系統(tǒng)。嵌入式處理器又稱為單片機、微控制器、微處理器。嵌入式處理器包含一切小尺寸的處理器,如51、61、AVR、PIC、ARM、DSP、FPGA等。

2 單片機和嵌入式系統(tǒng)的統(tǒng)一

單片機是一種集成電路芯片,包含大規(guī)模集成電路技術(shù)的CPU、隨機存儲器RAM、只讀存儲器ROM、多種I/O口和中斷系統(tǒng)、定時器/計時器等功能,有的還包含顯示驅(qū)動電路、脈寬調(diào)制電路。單片機是一個小而完善的計算機系統(tǒng),廣泛應用于工業(yè)控制領域,從8位單片機發(fā)展到目前32位MCU。

單片機,毫無疑問,也是一種小尺寸的嵌入式處理器。采用單片機構(gòu)成的計算機系統(tǒng),也是一種嵌入式系統(tǒng)。單片機的詞義本身也意味著在單個硅片上集成了盡可能多的部件,以便實現(xiàn)尺寸小的目標,這個特征也是所有嵌入式處理器的特征。因此,單片機和嵌入式可以統(tǒng)一化,或者說,單片機是嵌入式處理器的一個別稱。

目前,單片機課程普遍以51為主,這容易造成一種錯誤認識:單片機就是51。事實上,單片機的內(nèi)涵要大得多。為了理清關(guān)系,可以把單片機課程合并到嵌入式系統(tǒng)課程,把51作為一種最簡單的嵌入式處理器進行學習,同時學習一種較高級的嵌入式處理器STM32,相互對照,以便樹立正確的嵌入式系統(tǒng)的概念。

3 嵌入式系統(tǒng)的教學方向

嵌入式系統(tǒng)學習的內(nèi)容很多,需要設定若干教學方向。首先,要明確的是學習嵌入式系統(tǒng)的目的是如何使用,而不是制造。具體說就是不制造嵌入式處理器,不制造嵌入式操作系統(tǒng),而是利用現(xiàn)有嵌入式處理器設計電路,或利用現(xiàn)有嵌入式操作系統(tǒng)編制程序。其次,要明確有無操作系統(tǒng),這是設定教學方向的依據(jù)。

如果沒有操作系統(tǒng),則需要在程序設計中直接操作各個硬件寄存器,和硬件直接打交道,程序和硬件直接相關(guān),稱為嵌入式系統(tǒng)的硬方向。一個嵌入式處理器是否具有操作系統(tǒng)不是隨意的,因為操作系統(tǒng)屬于額外代碼,對嵌入式處理器的性能是有要求的。若嵌入式處理器的性能較低,勉強運行操作系統(tǒng),也是沒有意義的,不如裸機運行快。這方面的嵌入式處理器有51、61、STM32等,學習的重點在于處理器本身。嵌入式系統(tǒng)的硬方向,其課程名仍稱為嵌入式系統(tǒng)。

如果有操作系統(tǒng),則程序設計中無須直接操作各個硬件寄存器,不和硬件直接打交道,直接操作硬件的工作由操作系統(tǒng)(含驅(qū)動程序)完成,程序可以做到和硬件無關(guān),只需和操作系統(tǒng)(含驅(qū)動程序)軟件接口,稱為嵌入式系統(tǒng)的軟方向。一個嵌入式處理器是否具有操作系統(tǒng)不是隨意的,性能較高的嵌入式處理器,如主頻400 MHz以上的S3C2410,其內(nèi)部結(jié)構(gòu)已經(jīng)復雜到讓直接操作硬件變得不現(xiàn)實,必須采用嵌入式操作系統(tǒng)進行開發(fā),如Linux、Android、iOS,學習的重點在于操作系統(tǒng),而不是處理器本身。嵌入式系統(tǒng)的軟方向,其課程名可以稱為嵌入式操作系統(tǒng)。

4 嵌入式系統(tǒng)的內(nèi)容設置

嵌入式系統(tǒng),作為嵌入式系統(tǒng)的硬方向,課程內(nèi)容應該是學習不帶操作系統(tǒng)的嵌入式處理器,學習的重點在于處理器本身的細節(jié),為進一步學習嵌入式操作系統(tǒng)建立一個扎實的硬件基礎。沒有這個環(huán)節(jié),就難以理解操作系統(tǒng)的一些硬件概念。

嵌入式系統(tǒng)課程主要培養(yǎng)學生了解有關(guān)嵌入式系統(tǒng)的基本原理、設計方法以及嵌入式系統(tǒng)的最新發(fā)展,初步掌握嵌入式系統(tǒng)開發(fā)的過程和常用方法。通過本課程的學習,要求學生掌握嵌入式系統(tǒng)的基礎概念、基本原理、開發(fā)流程和步驟、嵌入式應用系統(tǒng)工程設計和解決技術(shù)問題的基本方法,具備一個合格的高新技術(shù)工程研發(fā)人員綜合運用所學各種知識和技能,從分析嵌入式系統(tǒng)應用項目需求,到確立設計開發(fā)方案,到方案實施和解決基本問題的能力。

課程基本內(nèi)容:了解嵌入式系統(tǒng)的特性、基本原理及其發(fā)展趨勢;建立嵌入式系統(tǒng)的概念;掌握51體系結(jié)構(gòu)、STM32嵌入式微控制器及嵌入式系統(tǒng)分析和設計等內(nèi)容。該課程是一門實踐與理論結(jié)合性很強的課程,以電子技術(shù)、微機原理與接口技術(shù)、C語言等課程為基礎,主要介紹基于51和STM32微處理器的硬件基礎和編程。

課程重點與難點:嵌入式系統(tǒng)的概念,嵌入式系統(tǒng)的硬件系統(tǒng),包括IO、中斷、DMA、SPI的工作原理,嵌入式系統(tǒng)的C語言編程方法。

課程章節(jié)主要內(nèi)容總體分為51和STM32兩大部分。

第一部分

第2章 AT89s51單片機硬件結(jié)構(gòu):AT89S51單片機的硬件組成,引腳功能,電源及時鐘引腳等。

第3章 C51語言編程基礎:Keil C51簡介,Keil C51的開發(fā)工具,C51語言程序設計基礎,C51語言中的數(shù)據(jù)類型與存儲類型等。

第4章 AT89s51片內(nèi)并行端口的原理及編程:AT89S51

的并行I/0端口的結(jié)構(gòu)及工作原理,并行I/O端口的C51編程舉例。

第5章 AT89s51單片機的中斷系統(tǒng):AT89S51中斷技術(shù)概述,中斷允許與中斷優(yōu)先級的控制,響應中斷請求的條件,中斷函數(shù)。

第6章 AT89s51單片機的定時器/計數(shù)器:工作方式控制寄存器TMOD,定時器/計數(shù)器的4種工作方式,定時器/計數(shù)器的編程和應用。

第7章 AT89S51單片機的串行口:串行口的結(jié)構(gòu),串行口控制寄存器SCON,特殊功能寄存器PCON,串行口的4種工作方式。

第12章 單片機的串行擴展技術(shù)。

第二部分

第1章 STM32基本原理:STM32性能和結(jié)構(gòu),Cortex M3處理器,Cortex M3寄存器,STM32儲存地址映射,復位和時鐘控制。

第2章 硬件設計:STM32主板設計,MCU及其周圍電路設計,USB轉(zhuǎn)串口電路設計,TTL轉(zhuǎn)RS232電路設計,網(wǎng)絡端口路。

第3章 STM32軟件開發(fā):STM32軟件開發(fā)環(huán)境,MDKKeil開發(fā)環(huán)境,獲得和理解固件庫,操作GPIO和管理中斷,嵌套向量中斷控制器NVIC庫函數(shù),串口通信和DMA編程,SPI與I2C編程,TF卡編程,液晶屏及觸摸屏編程。

參考文獻

[1]王奕翔.《嵌入式系統(tǒng)原理與應用》課程教學改革與實踐[J].教育現(xiàn)代化,2016(25):51-52.

第9篇:電路設計開發(fā)流程范文

中圖分類號:TN919-34文獻標識碼:A

文章編號:1004-373X(2010)19-0172-04

Design of Evoked Potential System Based on FPGA

XIE Hong, LI Gang, YAO Nan, XIA Bin

(Institute of Information Engineering, Shanghai Maritime University, Shanghai 200135, China)

Abstract: A FPGA-based evoked potential system is designed. The overall design of the evoked potential instrument is given. The integrated design of each module which takes FPGA as the main chip is discussed. On the basis of the integrated design, the characteristic of analog-to-digital conversion chip ADS1258 is discoursed and the circuit design of the interface connecting the chip with FPGA is presented. The design of the evoked potential system possesses the advantages of high reliability, versatility and scalability, and has a great application value and good market prospect.Keywords: evoked potential; FPGA; ADS1258; interface circuit

0 引 言

誘發(fā)電位是指對神經(jīng)系統(tǒng)某一特定部位給予特定刺激后在大腦皮層所產(chǎn)生的特定電活動,對于神經(jīng)系統(tǒng)功能性異常的疾病有獨特的檢測診斷能力,也是大腦認知和腦機接口研究常用的技術(shù)手段。誘發(fā)電位儀通常包括視覺誘發(fā)電位、聽覺誘發(fā)電位[1]和體感誘發(fā)電位┤種檢測功能,其硬件系統(tǒng)核心組成部分包括:刺激信號源、腦電信號放大和數(shù)據(jù)采集。刺激信號源包括視覺刺激信號(如棋盤格、黑白閃光等)、聽覺刺激信號和神經(jīng)刺激信號,一般采用分離設計[2]。

腦電信號數(shù)據(jù)采集一般包括模/數(shù)轉(zhuǎn)換、數(shù)據(jù)預處理和數(shù)據(jù)傳輸?shù)炔糠?而模/數(shù)轉(zhuǎn)換芯片和主控微處理器芯片的選擇主導了整個數(shù)據(jù)采集系統(tǒng)的性能。在目前的采集系統(tǒng)中,基于單片機的中低端控制芯片功能較弱,逐漸被DSP和ARM或增強型單片機[3]所取代。DSP芯片采用哈佛結(jié)構(gòu)的流水線工作方式,能實現(xiàn)復雜信號處理算法,如文獻[4]采用DSP實現(xiàn)復雜的腦電信號采集系統(tǒng);而ARM適合做事務處理或者中低端應用,如文獻[5]中通過ARM處理器建立操作系統(tǒng)實現(xiàn)任務調(diào)度。盡管采用DSP和ARM芯片可使系統(tǒng)的運算能力和管理事務的能力得到很大增強,但是構(gòu)成完整的數(shù)據(jù)采集系統(tǒng)通常還需要外部邏輯控制器件,尤其不能將數(shù)據(jù)采集和刺激信號源在單片上集成實現(xiàn)。

由于現(xiàn)代電子技術(shù)的飛速發(fā)展,可編程邏輯芯片F(xiàn)PGA的集成度越來越高,受到很多廠家和研究機構(gòu)的關(guān)注,利用它的可編程性和可擴展,可將絕大部分的功能集成到FPGA芯片中。如文獻[6]采用FPGA實現(xiàn)了腦電信號采集;文獻[7]則將盲分離算法ICA在FPGA上實現(xiàn),能同時對腦電信號進行采集和獨立分量分解。

本文針對誘發(fā)電位儀的硬件系統(tǒng)設計,提出將信號采集控制、處理、傳輸、刺激信號產(chǎn)生等功能集成在一塊FPGA芯片上的設計方案,并結(jié)合ADS1258[8]模/數(shù)轉(zhuǎn)換芯片,使得系統(tǒng)具有16通道,每個通道24位采樣精度和400 kHz采樣率的高性能,而且電路結(jié)構(gòu)簡單。

1 系統(tǒng)總體設計

本文提出的誘發(fā)電位儀包括了刺激信號源、數(shù)據(jù)采集和數(shù)據(jù)傳輸三大部分。其中核心控制芯片采用Altera公司的FPGA,產(chǎn)生刺激誘發(fā)信號源包括聽覺刺激、神經(jīng)傳導刺激和視覺誘發(fā)刺激,以及實現(xiàn)對電路如A/D,USB等模塊的控制并在芯片內(nèi)部集成濾波算法模塊;數(shù)據(jù)采集采用高精度多通道的模/數(shù)轉(zhuǎn)換芯片ADS1258將通過放大器放大后的誘發(fā)電位信號進行模/數(shù)轉(zhuǎn)換并輸入FPGA內(nèi)進行前置處理;數(shù)據(jù)傳輸通過USB控制器Cypress 68013A[9]將誘發(fā)電位數(shù)據(jù)傳輸至PC上位機,由上位機應用程序?qū)崿F(xiàn)誘發(fā)腦電信號的后期處理、顯示、存儲等功能。系統(tǒng)總體框圖見圖1。

圖1 系統(tǒng)總體框圖

2 FPGA軟件模塊設計

2.1 FPGA的優(yōu)勢

FPGA即現(xiàn)場可編程門陣列,是在CPLD的基礎上發(fā)展起來的新型高性能可編程邏輯器件,它既繼承了ASIC的大規(guī)模、高集成度、高可靠性的優(yōu)點,又克服了普通ASIC設計周期長、投資大、靈活性差的缺點,逐步成為復雜數(shù)字硬件電路設計的理想選擇[10]。不同于傳統(tǒng)的誘發(fā)電位儀設計,本文將大部分分立元件實現(xiàn)的功能集成到了FPGA芯片中,實現(xiàn)多種刺激模式和濾波模塊以及實現(xiàn)對器件的控制,不僅提高了集成度使儀器小型化、便攜化成為可能,而且片內(nèi)模塊可反復修改,提高了設計開發(fā)效率降低了成本,也可以方便地實現(xiàn)各模塊之間的同步。

2.2 軟件模塊整體設計

本設計中,FPGA芯片軟件模塊包括了誘發(fā)電位刺激模塊、信號傳輸控制模塊和數(shù)字信號處理模塊三大部分;由共同的同步信號協(xié)同合作,完成誘發(fā)電位儀的誘發(fā)刺激、信號的傳輸、數(shù)字濾波等核心控制處理功能。FPGA內(nèi)軟件模塊框圖如圖2所示。

圖2 FPGA內(nèi)部功能結(jié)構(gòu)模塊

2.3 誘發(fā)電位刺激模塊

在FPGA芯片中設計了誘發(fā)電位刺激模塊,主要功能包括:聽覺誘發(fā)電位刺激、神經(jīng)傳導刺激和視覺誘發(fā)電位刺激。刺激信號主要由脈沖信號進行控制[11],通過FPGA芯片可以直接輸出脈沖信號和VGA控制信號。聽覺誘發(fā)刺激信號和神經(jīng)傳導刺激信號可由FPGA輸出的脈沖信號經(jīng)功率放大后產(chǎn)生,在此聽覺誘發(fā)電位刺激信號為雙通道,一路信號產(chǎn)生脈沖信號如PWM(脈寬調(diào)制)波,另一路由FPGA芯片內(nèi)部模塊通過DA轉(zhuǎn)換器產(chǎn)生白噪聲,而神經(jīng)傳導刺激信號可直接由FPGA輸出PWM脈沖直接驅(qū)動電壓放大器生成。通過Verilog硬件描述語言,在FPGA中實現(xiàn)誘發(fā)電位刺激源信號,并通過FPGA端口輸出,例如在芯片中使用以下代碼可產(chǎn)生一個占空比為PWM_WIDTH∶1的PWM波形:

always @(posedge clk or negedge clr_set)begin

if (clr_set==0)pwm_out

else

if (counter>=PWM_WIDTH)

pwm_out

else

if (counter==0)

pwm_out

else

pwm_out

end

視覺誘發(fā)刺激信號由在FPGA芯片中存儲的刺激圖像數(shù)據(jù)直接產(chǎn)生VGA時序控制信號,控制VGA顯示器顯示棋盤格或彩條刺激圖像[12] 給予人體視覺刺激。

誘發(fā)電位刺激信號主要參數(shù)包括刺激脈寬、刺激頻率、刺激強度、刺激類型和刺激模式[13],USB芯片從上位機接收到參數(shù)配置信號,傳遞給誘發(fā)刺激信號生成模塊發(fā)出脈沖。例如,生成聽覺誘發(fā)電位刺激信號如圖3所示,它的刺激脈寬為0.2 ms,刺激頻率為12 Hz,設置某一刺激強度(由分貝進行度量);而生成視覺誘發(fā)電位刺激信號,刺激頻率為2 Hz,刺激類型為棋盤格模式,刺激模式為16×16。

圖3 刺激信號脈寬和周期

2.4 信號傳輸控制模塊

在FPGA內(nèi)部將完成誘發(fā)電位儀同步信號發(fā)生模塊、A/D轉(zhuǎn)換器的控制、USB傳輸控制端口和上位機命令解析模塊,從而形成一整個誘發(fā)電位儀核心處理控制模塊,可以方便地使用各個模塊來完成器件的初始化、工作模式配置和系統(tǒng)的數(shù)據(jù)傳輸。圖4為信號傳輸控制流程圖。

2.5 數(shù)字信號處理模塊

圖4 信號傳輸控制流程圖

數(shù)字信號處理模塊集成到FPGA中,可以將算法拆分,形成大規(guī)模的數(shù)字信號處理并行結(jié)構(gòu),將極大地提高處理速度,且性能不會下降,如模式識別算法、盲源分離算法等,均比較適合集成到FPGA中實現(xiàn)。在前置模擬電路放大之前,腦電信號為微弱混雜的信號,需要做一些濾波處理,而此處可以將前段部分的帶通濾波電路轉(zhuǎn)化為數(shù)字濾波器,設置到FPGA芯片中去,可簡化電路結(jié)構(gòu),使系統(tǒng)整體體積大大減小。本設計在FPGA芯片中搭建了四階無限脈沖數(shù)字濾波器,其系統(tǒng)傳遞函數(shù)H(z)如下:

H(z)=b0+b1z-1+…+b4z-41+a1z-1+…+a4z-4

=∑4k=0bkz-k1+∑4k=1akz-k

將誘發(fā)電位信號放大模/數(shù)轉(zhuǎn)換之后的數(shù)據(jù)實時經(jīng)過濾波,實現(xiàn)信號的前置處理[14],經(jīng)測試效果良好。利用FPGA的并行性,在每個通道設置一個數(shù)字濾波器,大大增強了系統(tǒng)實時性,而且可探索自適應、小波數(shù)字濾波器等設計,在硬件層次提高系統(tǒng)的處理能力。

3 高精度多通道模/數(shù)轉(zhuǎn)換器ADS1258

在誘發(fā)電位儀采集系統(tǒng)中,模/數(shù)轉(zhuǎn)換模塊芯片的選取對整個采集系統(tǒng)的結(jié)構(gòu)和性能影響非常大,本文模/數(shù)轉(zhuǎn)換芯片選用ADS1258器件,使得本系統(tǒng)達到多通道高分辨率的要求。

3.1 ADS1258的主要特點

ADS1258是16通道24位分辨率的低噪聲模/數(shù)轉(zhuǎn)換芯片,全量程5 V的單端輸入范圍或者±2.5 V的真雙極輸入,每個通道采樣速率最高23.7 KSPS(16通道同時采樣),單個通道采樣最高可達400 KSPS,通過SPI兼容接口進行工作模式配置和串行數(shù)字通信,使用方便。選用此芯片,電壓分辨率即可達到1 μV,因此信號放大和調(diào)理預處理電路的放大倍數(shù)只要100倍就可滿足誘發(fā)電位儀的技術(shù)要求,大大簡化了前級電路。

3.2 ADS1258與FPGA接口電路

ADS1258通過一個SPI兼容串行接口將數(shù)據(jù)寫入配置寄存器,使用命令控制轉(zhuǎn)換器以此來控制A/D芯片的工作模式,并最終讀取通道數(shù)據(jù)。接口包含CS,SCLK,DIN和DOUT四個信號。對ADS1258的所有操作都得先向其寫入命令,然后由AD根據(jù)寫入的命令做相應的操作。經(jīng)過FPGA的A/D配置模塊啟動之后,ADS1258將處于固定通道掃描模式下或者自動通道掃描模式下, ADS1258將可轉(zhuǎn)換16路共模輸入信號或8路差分輸入信號。模擬信號由AIN口輸入,輸入范圍0~+5 V??刂贫丝诮玉g到FPGA,由FPGA控制模塊控制A/D采樣,由CS進行選通,START啟動ADC開始工作,通過DIN輸入命令之后由DOUT輸出轉(zhuǎn)換結(jié)果,共使用8個端口與FPGA芯片的端口相連接[15]。具體接口電路的實現(xiàn)如圖5所示。

圖5 ADS1258與FPGA芯片的接口電路

4 結(jié) 語

利用FPGA芯片豐富的資源,將誘發(fā)電位儀的刺激信號源、模/數(shù)轉(zhuǎn)換控制邏輯和USB接口控制與數(shù)據(jù)傳輸以及數(shù)字信號處理等模塊設計在單個芯片上,可最大限度地簡化誘發(fā)電位儀的硬件電路復雜度,利用其可編程性極大地方便了硬件設計,結(jié)合ADS1258的高分辨率的優(yōu)勢,可以使系統(tǒng)既具有優(yōu)異的性能又具有很高的集成度,而且本設計尚余很多FPGA的I/O口,如需更多通道則僅需要加入多塊A/D芯片,具有較高的應用價值。

參考文獻

[1]趙仕波,羅耀華,趙文華.聽覺誘發(fā)電位儀的設計與實現(xiàn)方案[J].儀器儀表學報,2008,29(3):394-398.

[2]HU Yong, LUK K D K, CUI Hong-yan, et al. Surface somatosensory evoked potential detection by FPGA based multi-adaptive filter[C]//4th International IEEE/EMBS Conference on Neural Engineering. USA: IEEE/EMBS, 2009: 673-676.

[3]李長旺.基于單片機的ECG數(shù)據(jù)采集與預處理[D].合肥:安徽大學,2007.

[4]張建利,李文豐.基于TMS320LF2407A的腦電信號采集系統(tǒng)的設計[J].世界電子元器件,2004(11):37-39.

[5]鐘文華.基于ARM的腦電信號采集系統(tǒng)[J].國外電子元器件,2008(2):13-15.

[6]侯俊欽.基于FPGA的腦電信號采集系統(tǒng)的設計[D].合肥:安徽大學,2007.

[7]SHYU Kuo-kai, LEE Ming-huan, WU Yu-te, et al. Implementation of pipelined fast ICA on FPGA for real-time blind source separation[J]. IEEE Transactions on Neural Networks, 2008, 19(6): 958-970.

[8]Texas Instruments. ADS1258 data sheet[M]. USA: Texas Instruments, 2008.

[9]Cypres Semiconductor Co.. EZ-USB FX2 technical reference manual. [M]. Version 2.0. [S.l.]: Cypress Semiconductor Corporation, 2001.

[10]王誠,吳繼華,范麗珍,等.Altem FPGA/CPLD設計(基礎篇)[M].北京:人民郵電出版社,2005.

[11]梁清華,張群峰,趙錦.一種新型肌電誘發(fā)電位儀的研制[J].遼寧工學院學報,2005(1):134-136.

[12]于芳.人體視覺誘發(fā)電位檢測系統(tǒng)的開發(fā)與研制[D].上海:上海海事大學,2008.

[13]李源.聽覺腦干誘發(fā)電位儀[D].北京:北京交通大學,2008.

相關(guān)熱門標簽