公務(wù)員期刊網(wǎng) 精選范文 集成電路后端設(shè)計(jì)流程范文

集成電路后端設(shè)計(jì)流程精選(九篇)

前言:一篇好文章的誕生,需要你不斷地搜集資料、整理思路,本站小編為你收集了豐富的集成電路后端設(shè)計(jì)流程主題范文,僅供參考,歡迎閱讀并收藏。

集成電路后端設(shè)計(jì)流程

第1篇:集成電路后端設(shè)計(jì)流程范文

關(guān)鍵詞:電子科學(xué)與技術(shù);集成電路設(shè)計(jì);平臺(tái)建設(shè);IC產(chǎn)業(yè)

中圖分類號(hào):G642 文獻(xiàn)標(biāo)志碼:A 文章編號(hào):1674-9324(2014)08-0270-03

國家教育部于2007年正式啟動(dòng)了高等學(xué)校本科教學(xué)質(zhì)量與教學(xué)改革工程(簡(jiǎn)稱“質(zhì)量工程”),其建設(shè)的重要內(nèi)容之一就是使高校培養(yǎng)的理工科學(xué)生具有較強(qiáng)的實(shí)踐動(dòng)手能力,更好地適應(yīng)社會(huì)和市場(chǎng)的需求[1]。為此,我校作為全國獨(dú)立學(xué)院理事單位于2007年6月通過了ISO2000:9001質(zhì)量管理體系認(rèn)證[2],同時(shí)確立了“質(zhì)量立校、人才強(qiáng)校、文化興?!比蠛诵膽?zhàn)略,深入推進(jìn)內(nèi)涵式發(fā)展,全面提高人才培養(yǎng)質(zhì)量。對(duì)于質(zhì)量工程采取了多方面多角度的措施:加強(qiáng)教學(xué)改革項(xiàng)目工程;鼓勵(lì)參加校內(nèi)學(xué)生創(chuàng)新項(xiàng)目立項(xiàng),(大學(xué)生創(chuàng)新基金項(xiàng)目);積極參加國家、省級(jí)等電子設(shè)計(jì)大賽;有針對(duì)性地對(duì)人才培養(yǎng)方案進(jìn)行大幅度的調(diào)整,增大課程實(shí)驗(yàn)學(xué)時(shí),實(shí)驗(yàn)學(xué)時(shí)占課程的比例從原來的15%提高到25%以上,并且對(duì)實(shí)驗(yàn)項(xiàng)目作了改進(jìn),提高綜合性和設(shè)計(jì)性實(shí)驗(yàn)的比重;同時(shí)增加專業(yè)實(shí)踐課程,強(qiáng)調(diào)學(xué)生的應(yīng)用能力和創(chuàng)新能力;課程和畢業(yè)設(shè)計(jì)更注重選題來源,題目比以前具有更強(qiáng)的針對(duì)性,面向?qū)I(yè),面向本地就業(yè)市場(chǎng)。不僅如此,學(xué)院還建立了創(chuàng)業(yè)孵化中心、建立了實(shí)驗(yàn)中心等。通過這些有效的措施,努力提高學(xué)生的綜合素質(zhì)、創(chuàng)新和應(yīng)用能力。除了學(xué)校對(duì)電子信息類專業(yè)整體進(jìn)行統(tǒng)籌規(guī)劃和建設(shè)外,各個(gè)二級(jí)學(xué)院都以“質(zhì)量工程”建設(shè)為出發(fā)點(diǎn)和立足點(diǎn),從專業(yè)工程的角度出發(fā),努力探索各個(gè)專業(yè)新的發(fā)展思路和方向。由于集成電路設(shè)計(jì)是高校電子科學(xué)與技術(shù)、微電子學(xué)等相關(guān)專業(yè)的主要方向,因此與之相關(guān)的課程和平臺(tái)建設(shè)成為該專業(yè)工程探索的重點(diǎn)。通過對(duì)當(dāng)前國內(nèi)外高校該專業(yè)方向培養(yǎng)方案分析,設(shè)置的課程主要強(qiáng)調(diào)模擬/數(shù)字電路方向,相應(yīng)的課程體系為此服務(wù),人才培養(yǎng)方案設(shè)置與之相對(duì)應(yīng)的理論和實(shí)踐教學(xué)體系;同時(shí)建立相應(yīng)的實(shí)習(xí)、實(shí)踐教學(xué)平臺(tái)。由此,依據(jù)電子科學(xué)與技術(shù)專業(yè)的特點(diǎn),結(jié)合本專業(yè)學(xué)生的層次和專業(yè)面向,同時(shí)依據(jù)本地的人才需求深度和廣度,對(duì)以往的人才培養(yǎng)方案進(jìn)行革新,建立面向中山IC產(chǎn)業(yè)的集成電路設(shè)計(jì)專業(yè)應(yīng)用型的設(shè)計(jì)平臺(tái)。另外,從課程體系出發(fā),強(qiáng)化IC設(shè)計(jì)的模擬集成電路后端版圖設(shè)計(jì)和驗(yàn)證,使學(xué)生在實(shí)踐教學(xué)環(huán)節(jié)中得到實(shí)際的訓(xùn)練。通過這些改革既可有效地幫助學(xué)生迅速融入IC設(shè)計(jì)業(yè),也為進(jìn)入IC制造行業(yè)提高層次到新高度。

一、軟件設(shè)計(jì)平臺(tái)在集成電路設(shè)計(jì)業(yè)的重要性

自從1998年高等學(xué)校擴(kuò)大招生以來,高校規(guī)模發(fā)展很快,在校大學(xué)生的人數(shù)比十五年前增長(zhǎng)了10倍。高校的基礎(chǔ)設(shè)施和設(shè)備的投入呈現(xiàn)不斷增長(zhǎng)的趨勢(shì),學(xué)校的辦學(xué)條件不斷改善,同時(shí),各個(gè)高校對(duì)實(shí)驗(yàn)室的建設(shè)也在持續(xù)增大,然而在實(shí)驗(yàn)室建設(shè)的過程中,盡管投入的資金量在不斷增大,但出現(xiàn)的現(xiàn)象是重視專業(yè)儀器和設(shè)備的投入,忽視專業(yè)設(shè)計(jì)軟件的購置,這可能是由于長(zhǎng)期以來形成的重有形實(shí)體、輕無形設(shè)計(jì)軟件,然而這種意識(shí)給專業(yè)發(fā)展必將帶來不利影響。對(duì)于IC專業(yè)來說,該專業(yè)主要面向集成電路的生產(chǎn)、測(cè)試和設(shè)計(jì),其中集成電路設(shè)計(jì)業(yè)是最具活力、最有增長(zhǎng)效率的一塊,即使是在國際金融危機(jī)的2009年,中國的IC設(shè)計(jì)業(yè)不僅沒有像半導(dǎo)體行業(yè)那樣同比下降10%,反而逆勢(shì)增長(zhǎng)9.1%;在2010年,國際金融危機(jī)剛剛緩和,中國IC設(shè)計(jì)業(yè)的同比增速又快速攀升到45%;2011年全行業(yè)銷售額為624.37億元,2012年比2012年增長(zhǎng)8.98%達(dá)到680.45億元,集成電路行業(yè)不僅增長(zhǎng)速度快,發(fā)展前景好,而且可以滿足更多的高校學(xué)生就業(yè)和創(chuàng)業(yè)。為了滿足IC設(shè)計(jì)行業(yè)的要求,必須建設(shè)該行業(yè)需求的集成電路軟件設(shè)計(jì)平臺(tái)。眾所周知集成電路行業(yè)制造成本相對(duì)較高,這就要求設(shè)計(jì)人員在設(shè)計(jì)電路產(chǎn)品時(shí)盡量做到一次流片成功,而要實(shí)現(xiàn)這種目標(biāo)需要建設(shè)電路設(shè)計(jì)驗(yàn)證的平臺(tái),即集成電路設(shè)計(jì)專業(yè)軟件設(shè)計(jì)平臺(tái)。通過軟件平臺(tái)可以實(shí)現(xiàn):電路原理拓?fù)鋱D的構(gòu)建及參數(shù)仿真和優(yōu)化、針對(duì)具體集成電路工藝尺寸生產(chǎn)線的版圖設(shè)計(jì)和驗(yàn)證、對(duì)版圖設(shè)計(jì)的實(shí)際性能進(jìn)行仿真并與電路原理圖仿真對(duì)照、提供給制造廠商具體的GDSII版圖文件。軟件平臺(tái)實(shí)際上已經(jīng)達(dá)到驗(yàn)證的目的,因此,對(duì)于集成電路設(shè)計(jì)專業(yè)的學(xué)生或工作人員來說,軟件設(shè)計(jì)平臺(tái)的建設(shè)特別重要,如果沒有軟件設(shè)計(jì)平臺(tái)也就無法培養(yǎng)出真正的IC設(shè)計(jì)人才。因此,在培養(yǎng)具有專業(yè)特色的應(yīng)用型人才的號(hào)召下,學(xué)院不斷加大實(shí)驗(yàn)室建設(shè)[3],從電子科學(xué)與技術(shù)專業(yè)角度出發(fā),建設(shè)IC軟件設(shè)計(jì)平臺(tái),為本地區(qū)域發(fā)展和行業(yè)發(fā)展服務(wù)。

二、建設(shè)面向中山本地市場(chǎng)IC應(yīng)用平臺(tái)

近年來,學(xué)校從自身建設(shè)的實(shí)際情況出發(fā),減少因?qū)嶒?yàn)經(jīng)費(fèi)緊張帶來的困境,積極推動(dòng)學(xué)院集成電路設(shè)計(jì)專業(yè)方向的人才培養(yǎng)。教學(xué)單位根據(jù)集成電路設(shè)計(jì)的模塊特點(diǎn)確定合適的軟件設(shè)計(jì)平臺(tái),原理拓?fù)鋱D的前端電路仿真采用PSPICE軟件工具,熟悉電路仿真優(yōu)化過程;后端采用L-EDIT版圖軟件工具,應(yīng)用實(shí)際生產(chǎn)廠家的雙極或CMOS工藝線來設(shè)計(jì)電路的版圖,并進(jìn)行版圖驗(yàn)證。這種處理方法雖然暫時(shí)性解決前端和后端電路及版圖仿真的問題,但與真正的系統(tǒng)設(shè)計(jì)集成電路相對(duì)出入較大,不利于形成IC的系統(tǒng)設(shè)計(jì)能力。2010年12月國家集成電路設(shè)計(jì)深圳產(chǎn)業(yè)化基地中山園區(qū)成立,該園區(qū)對(duì)集成電路設(shè)計(jì)人才的要求變得非常迫切,客觀上推進(jìn)了學(xué)院對(duì)IC產(chǎn)業(yè)的人才培養(yǎng)力度,建立面向中山IC產(chǎn)業(yè)的專業(yè)應(yīng)用型設(shè)計(jì)平臺(tái)變得刻不容緩[4],同時(shí),新的人才培養(yǎng)方案也應(yīng)聲出臺(tái),促進(jìn)了具有一定深度的教學(xué)改革。

1.軟件平臺(tái)建設(shè)。從目前集成電路設(shè)計(jì)軟件使用的廣泛性和系統(tǒng)性來看,建設(shè)面向市場(chǎng)的應(yīng)用平臺(tái),應(yīng)該是學(xué)校所使用的與實(shí)際設(shè)計(jì)公司或其他單位的軟件一致,使得所培養(yǎng)的IC設(shè)計(jì)人才能與將來的就業(yè)工作實(shí)現(xiàn)無縫對(duì)接,從而提高市場(chǎng)對(duì)所培養(yǎng)的集成電路設(shè)計(jì)人才的認(rèn)可度,同時(shí)也可大大提高學(xué)生對(duì)專業(yè)設(shè)計(jì)的能力和信心[5]。遵循這個(gè)原則,選擇Cadence軟件作為建設(shè)平臺(tái)設(shè)計(jì)軟件,這不僅因?yàn)樵摴臼侨蜃畲蟮碾娮釉O(shè)計(jì)技術(shù)、程序方案服務(wù)和設(shè)計(jì)服務(wù)供應(yīng)商,EDA軟件產(chǎn)品涵蓋了電子設(shè)計(jì)的整個(gè)流程,包括系統(tǒng)級(jí)設(shè)計(jì),功能驗(yàn)證,IC綜合及布局布線,模擬、混合信號(hào)及射頻IC設(shè)計(jì),全定制集成電路設(shè)計(jì),IC物理驗(yàn)證,PCB設(shè)計(jì)和硬件仿真建模,而且通過大學(xué)計(jì)劃合作,可以大幅度的降低購置軟件所需資金,從而從根本上解決學(xué)校實(shí)驗(yàn)室建設(shè)軟件費(fèi)用昂貴的問題。另外,從中山乃至珠三角其他城市的IC行業(yè)中,各個(gè)單位都普遍采用該系統(tǒng)設(shè)計(jì)軟件,而且選用該軟件更有利于剛剛起步的中山集成電路設(shè)計(jì),也更加有利于該產(chǎn)業(yè)的標(biāo)準(zhǔn)化和專業(yè)化,乃至進(jìn)一步的發(fā)展和壯大。

2.針對(duì)中山IC產(chǎn)業(yè)設(shè)計(jì)。定位于面向本地產(chǎn)業(yè)的IC應(yīng)用型人才,就必須以中山IC產(chǎn)業(yè)為培養(yǎng)特色人才的出發(fā)點(diǎn)。中山目前有一批集成電路代工生產(chǎn)和設(shè)計(jì)的公司,主要有中山市奧泰普微電子有限公司、芯成微電子公司、深電微電子科技有限公司、木林森股份有限公司等,能進(jìn)行IC設(shè)計(jì)、工藝制造和測(cè)試封裝,主要生產(chǎn)功率半導(dǎo)體器件和IC、應(yīng)用于家電等消費(fèi)電子、節(jié)能照明等。日前奧泰普公司的0.35微米先進(jìn)工藝生產(chǎn)線預(yù)計(jì)快速投產(chǎn),該單位的發(fā)展對(duì)本地IC人才需求有極大的推動(dòng)力,推動(dòng)學(xué)生學(xué)習(xí)微電子專業(yè)的積極性,而這些也有力地支持本地IC企業(yè)的長(zhǎng)遠(yuǎn)發(fā)展。因此,建立面向本地集成電路產(chǎn)業(yè)的軟件設(shè)計(jì)平臺(tái),有利于專業(yè)人才的培養(yǎng)、準(zhǔn)確定位,并形成了本地優(yōu)勢(shì)和特色。

3.教學(xué)實(shí)踐改革。為了提高人才培養(yǎng)質(zhì)量,形成專業(yè)特色,必須對(duì)人才培養(yǎng)方案進(jìn)行修改。在人才培養(yǎng)方案中通過增加實(shí)踐教學(xué)環(huán)節(jié)的比例,實(shí)驗(yàn)項(xiàng)目中除了原有驗(yàn)證性的實(shí)驗(yàn)外、還增加了綜合性或設(shè)計(jì)性的實(shí)驗(yàn),這種變化將有助于學(xué)生從被動(dòng)實(shí)驗(yàn)學(xué)習(xí)到主動(dòng)實(shí)驗(yàn)的綜合和設(shè)計(jì),提高學(xué)生對(duì)知識(shí)的靈活運(yùn)用和動(dòng)手能力,從而為培養(yǎng)應(yīng)用型的人才打下良好的基礎(chǔ)。除此之外,與集成電路代工企業(yè)及芯片應(yīng)用公司建立合作關(guān)系。學(xué)生在學(xué)習(xí)期間到這些單位進(jìn)行在崗實(shí)習(xí)和培訓(xùn),可以將所學(xué)的專業(yè)理論知識(shí)應(yīng)用于實(shí)際生產(chǎn)當(dāng)中去,形成無縫對(duì)接;而從單位招聘人才角度上來說,可以節(jié)約人力資源培訓(xùn)成本,招到單位真正需要的崗位人才。因此,合作雙方在找到相互需求的基礎(chǔ)上,形成有效的合作機(jī)制。①課程改革。針對(duì)獨(dú)立學(xué)院培養(yǎng)應(yīng)用型人才的特點(diǎn),除了培養(yǎng)方案上增加多元化教育課程之外,主要是強(qiáng)調(diào)實(shí)踐教學(xué)的改革,增加綜合實(shí)驗(yàn)課程,如:《現(xiàn)代電子技術(shù)綜合設(shè)計(jì)》計(jì)32學(xué)時(shí)、《微電子學(xué)綜合實(shí)驗(yàn)》計(jì)40學(xué)時(shí)、《EDA綜合實(shí)驗(yàn)》為32學(xué)時(shí)、《集成電路設(shè)計(jì)實(shí)驗(yàn)》為40學(xué)時(shí),其相應(yīng)的課程學(xué)時(shí)數(shù)從以驗(yàn)證性實(shí)驗(yàn)為主的16個(gè)學(xué)時(shí),增加到現(xiàn)在32學(xué)時(shí)以上的帶有綜合性或設(shè)計(jì)性實(shí)驗(yàn)的綜合實(shí)踐課程。這種變化不僅是實(shí)踐教學(xué)環(huán)節(jié)的課時(shí)加大,而且是實(shí)驗(yàn)項(xiàng)目的改進(jìn),也是實(shí)踐綜合能力的增強(qiáng),有利于學(xué)生形成專業(yè)應(yīng)用能力。②與單位聯(lián)合的IC設(shè)計(jì)基地。IC設(shè)計(jì)基地主要立足于兩個(gè)方面:一是立足于本地IC企業(yè)或設(shè)計(jì)公司;二是立足于IC代工和集成電路設(shè)計(jì)應(yīng)用。前者主要利用本地資源就近的優(yōu)勢(shì),學(xué)生參觀、實(shí)習(xí)都比較方便,同時(shí)也有利于學(xué)校與用人單位之間的良好溝通,提高雙方的認(rèn)可度和贊同感。如:中山市奧泰普微電子有限公司、木林森股份有限公司等。后者從生產(chǎn)角度和設(shè)計(jì)應(yīng)用出發(fā),帶領(lǐng)學(xué)生到IC代工企業(yè)參觀,初步了解集成電路的生產(chǎn)過程,企業(yè)的架構(gòu)、規(guī)劃和發(fā)展遠(yuǎn)景。也可根據(jù)公司的人才需要,選派部分學(xué)生到公司在崗實(shí)習(xí)[6]。如:深圳方正微電子有限公司、廣州南科集成電子有限公司等。通過這些方式不僅可以增強(qiáng)學(xué)生對(duì)專業(yè)知識(shí)的應(yīng)用能力,而且有利于學(xué)生對(duì)IC單位的深入了解,為本校專業(yè)應(yīng)用型人才找到一種行之有效的就業(yè)之路。

三、集成電路設(shè)計(jì)平臺(tái)的實(shí)效性

從2002年創(chuàng)辦電子科學(xué)與技術(shù)專業(yè)以來,學(xué)校特別重視集成電路相關(guān)的實(shí)驗(yàn)室建設(shè)。從初期的晶體管器件和集成塊性能測(cè)量,硅片的少子壽命、C-V特性、方阻等測(cè)量,發(fā)展到探針臺(tái)的芯片級(jí)的性能測(cè)試,在此期間為了滿足更多的學(xué)生實(shí)驗(yàn)、興趣小組和畢業(yè)設(shè)計(jì)的要求,微電子實(shí)驗(yàn)室的已經(jīng)過三次擴(kuò)張和升級(jí),其建設(shè)規(guī)模和實(shí)驗(yàn)水平得到了大幅度的提升。另外,為培養(yǎng)本科學(xué)生集成電路的設(shè)計(jì)能力,提高應(yīng)用性能力,學(xué)校還建立了集成電路CAD實(shí)驗(yàn)室,以電路原理圖仿真設(shè)計(jì)為重點(diǎn),著重應(yīng)用L-Edit版圖軟件工具,進(jìn)行基本的集成電路版圖設(shè)計(jì)及驗(yàn)證,對(duì)提升學(xué)生集成電路設(shè)計(jì)應(yīng)用能力取得了一定的效果。目前,為了大力提高本科教學(xué)質(zhì)量,提升辦學(xué)水平,重點(diǎn)對(duì)實(shí)踐課程和IC軟件設(shè)計(jì)平臺(tái)進(jìn)行了改革。學(xué)校開設(shè)了專門實(shí)踐訓(xùn)練課程,如:集成電路設(shè)計(jì)實(shí)驗(yàn)。從以前的16學(xué)時(shí)課內(nèi)驗(yàn)證設(shè)計(jì)實(shí)驗(yàn)提升為32學(xué)時(shí)獨(dú)立的集成電路設(shè)計(jì)實(shí)驗(yàn)實(shí)踐課程,內(nèi)容從以驗(yàn)證為主的實(shí)驗(yàn)轉(zhuǎn)變?yōu)橐栽O(shè)計(jì)和綜合為主的實(shí)驗(yàn),整體應(yīng)用設(shè)計(jì)水平進(jìn)行了大幅度的提升,有利于培養(yǎng)學(xué)生的應(yīng)用和動(dòng)手能力。不僅如此,對(duì)集成電路的設(shè)計(jì)軟件也進(jìn)行了升級(jí),從最初的用Pspice和Hspice軟件進(jìn)行電路圖仿真,L-Edit軟件工具的后端版圖設(shè)計(jì),升級(jí)為應(yīng)用系統(tǒng)的專業(yè)軟件平臺(tái)設(shè)計(jì)工具Cadence進(jìn)行前后端的設(shè)計(jì)仿真驗(yàn)證等,并采用開放實(shí)驗(yàn)室模式,使得學(xué)生的系統(tǒng)設(shè)計(jì)能力得到一定程度的提升,提高了系統(tǒng)認(rèn)識(shí)和項(xiàng)目設(shè)計(jì)能力。通過IC系統(tǒng)設(shè)計(jì)軟件平臺(tái)的建設(shè)和實(shí)踐教學(xué)課程改革,使得學(xué)生對(duì)電子科學(xué)與技術(shù)專業(yè)的性質(zhì)和內(nèi)容了解更加全面,對(duì)專業(yè)知識(shí)學(xué)習(xí)的深度和廣度也得到進(jìn)一步提高,從而增強(qiáng)了專業(yè)學(xué)習(xí)的興趣,提高了自信心。此外,其他專業(yè)的學(xué)生也開始轉(zhuǎn)到本專業(yè),從事集成電路設(shè)計(jì)學(xué)習(xí),并對(duì)集成電路流片產(chǎn)生濃厚的興趣。除此之外,學(xué)生利用自己在外實(shí)踐實(shí)習(xí)的機(jī)會(huì)給學(xué)校引進(jìn)研究性的開發(fā)項(xiàng)目,這些都為本專業(yè)的發(fā)展形成很好的良性循環(huán)。在IC設(shè)計(jì)平臺(tái)的影響下,本專業(yè)繼續(xù)報(bào)考碩士研究生的學(xué)生特別多,約占學(xué)生比例的45%左右。經(jīng)過這幾年的努力,2003、2004、2005、2006級(jí)都有學(xué)生在碩士畢業(yè)后分別被保送或考上電子科技大學(xué)、華南理工大學(xué)、復(fù)旦大學(xué)、香港城市大學(xué)的博士。從這些學(xué)生的反饋意見了解到,他們對(duì)學(xué)校在IC設(shè)計(jì)平臺(tái)建設(shè)評(píng)價(jià)很高,對(duì)他們進(jìn)一步深造起到了很好的幫助作用。不僅如此,已經(jīng)畢業(yè)在本行業(yè)工作的學(xué)生也對(duì)IC設(shè)計(jì)平臺(tái)有很好的評(píng)價(jià):通過該軟件設(shè)計(jì)平臺(tái)不僅熟悉了集成電路設(shè)計(jì)的工藝庫、集成電路工藝流程和相應(yīng)的工藝參數(shù),而且也熟悉版圖的設(shè)計(jì),這對(duì)于從事IC代工工作起到很好的幫助作用?,F(xiàn)在已經(jīng)有多屆畢業(yè)的學(xué)生在深圳方正微電子公司、中山奧泰普微電子有限公司工作。另外,還有許多學(xué)生從事集成電路應(yīng)用設(shè)計(jì)工作,主要分布于中山LED照明產(chǎn)業(yè)等。

通過IC軟件設(shè)計(jì)平臺(tái)建設(shè),配合以實(shí)踐教學(xué)改革,使得學(xué)生所學(xué)理論知識(shí)和實(shí)際能力直接與市場(chǎng)實(shí)現(xiàn)無縫對(duì)接,培養(yǎng)了學(xué)生的創(chuàng)新意識(shí)和實(shí)踐動(dòng)手能力,增強(qiáng)了學(xué)生的自信心。另外,利用與企業(yè)合作的生產(chǎn)實(shí)習(xí),可以使得學(xué)生得到更好的工作鍛煉,為將來的工作打下良好的基礎(chǔ)。實(shí)踐證明,建設(shè)面向中山IC產(chǎn)業(yè)的集成電路設(shè)計(jì)實(shí)踐教學(xué)平臺(tái),尋求高校與公司更緊密的新的合作模式,符合我校人才培養(yǎng)發(fā)展模式方向,對(duì)IC設(shè)計(jì)專業(yè)教學(xué)改革,培養(yǎng)滿足本地區(qū)乃至整個(gè)社會(huì)的高素質(zhì)應(yīng)用型人才,具有特別重要的作用。

參考文獻(xiàn):

[1]許曉琳,易茂祥,王墨林.適應(yīng)“質(zhì)量工程”的IC設(shè)計(jì)實(shí)踐教學(xué)平臺(tái)建設(shè)[J].合肥工業(yè)大學(xué)學(xué)報(bào)(社會(huì)科學(xué)版),2011,25(4):[129-132.

[2]胡志武,金永興,陳偉平,等.上海海事大學(xué)質(zhì)量管理體系運(yùn)行的回顧與思考[J].航海教育研究,2009,(1):16-20.

[3]毛建波,易茂祥.微電子學(xué)專業(yè)實(shí)驗(yàn)室建設(shè)的探索與實(shí)踐[J].實(shí)驗(yàn)室研究與探索,2005,24(12):118-126.

[4]鞠晨鳴,徐建成.“未來工程師”能力的集中培養(yǎng)大平臺(tái)建設(shè)[J].實(shí)驗(yàn)室研究與探索,2010,29(4):158-161.

[5]袁穎,董利民,張萬榮.微電子技術(shù)實(shí)驗(yàn)教學(xué)平臺(tái)的構(gòu)建[J].電氣電子教學(xué)學(xué)報(bào),2009,(31):115-117.

[6]王瑛.中低技術(shù)產(chǎn)業(yè)集群中企業(yè)產(chǎn)學(xué)研合作行為研究[J].中國科技論壇,2011,(9):56-61.

第2篇:集成電路后端設(shè)計(jì)流程范文

關(guān)鍵詞:版圖設(shè)計(jì);九天EDA系統(tǒng);D觸發(fā)器

Full-Custom Layout Design Based on the Platform

of Zeni EDA System

YANG Yi-zhong , XIE Guang-jun, Dai Cong-yin

(Dept. of Applied Physics, Hefei University of Technology, Hefei 230009, China)

Abstract: Layout of D flip-flop based on some basic units such as inverter has been designed by using platform of Zeni EDA software system produced by China Integrated Circuit Design Center, adopting 0.6um Si-gate CMOS process, following a full-custom IC design flow of back-end, i.e. the construction of basic cell libraries, placement & routing and then layout verification, which is used for data collection unit. Layout design technique about elementary logic gate of digital circuit has been discussed in detail. The layout has been used in an IC. The result shows that design using Zeni EDA software system satisfies design requirement exactly.

Key words: layout design; Zeni EDA system; D flip-flop

1引言

集成電路(Integrated Circuit,IC)把成千上萬的電子元件包括晶體管、電阻、電容甚至電感集成在一個(gè)微小的芯片上。集成電路版圖設(shè)計(jì)的合理與否、正確與否直接影響到集成電路產(chǎn)品的最終性能[1]。目前,集成電路版圖設(shè)計(jì)的EDA ( Electronic Design Automation)工具較多,但主流的集成電路版圖設(shè)計(jì)的EDA工具價(jià)格昂貴,而我國自主開發(fā)的九天EDA系統(tǒng),具有很高的性價(jià)比,為我們提供了理想的集成電路設(shè)計(jì)工具。

2基本概念

2.1 版圖

版圖是將三維的立體結(jié)構(gòu)轉(zhuǎn)換為二維平面上的幾何圖形的設(shè)計(jì)過程,是一組相互套合的圖形,各層版圖相應(yīng)于不同的工藝步驟,每一層版圖用不同的圖案來表示。它包括了電路尺寸、各層拓?fù)涠x等器件的相關(guān)物理信息,是設(shè)計(jì)者交付給代工廠的最終輸出。

2.2 版圖設(shè)計(jì)

它將電路設(shè)計(jì)中的每一個(gè)元器件包括晶體管、電阻、電容等以及它們之間的連線轉(zhuǎn)換成集成電路制造所需要的版圖信息。主要包括圖形劃分、版圖規(guī)劃、布局布線及壓縮等步驟[2]。版圖設(shè)計(jì)是實(shí)現(xiàn)集成電路制造的必不可少的環(huán)節(jié),它不僅關(guān)系到集成電路的功能是否正確,而且會(huì)在一定程度上影響集成電路的性能、面積、成本與功耗及可靠性等[3]。版圖設(shè)計(jì)是集成電路從設(shè)計(jì)走向制造的橋梁。

2.3 集成電路版圖實(shí)現(xiàn)方法

集成電路版圖實(shí)現(xiàn)方法可以分為全定制(Full-Custom)設(shè)計(jì)和半定制(Semi-Custom)設(shè)計(jì)[4]。半定制設(shè)計(jì)方法包括門陣列設(shè)計(jì)方法、門海設(shè)計(jì)方法、標(biāo)準(zhǔn)單元設(shè)計(jì)方法、積木塊設(shè)計(jì)方法及可編程邏輯器件設(shè)計(jì)方法等。全定制設(shè)計(jì)方法是利用人機(jī)交互圖形系統(tǒng),由版圖設(shè)計(jì)人員從每一個(gè)半導(dǎo)體器件的圖形、尺寸開始設(shè)計(jì),直至整個(gè)版圖的布局和布線。全定制設(shè)計(jì)的特點(diǎn)是針對(duì)每一個(gè)元件進(jìn)行電路參數(shù)和版圖參數(shù)的優(yōu)化,可以得到最佳的性能以及最小的芯片尺寸,有利于提高集成度和降低生產(chǎn)成本。隨著設(shè)計(jì)自動(dòng)化的不斷進(jìn)步,全定制設(shè)計(jì)所占比例逐年下降[5]。

3九天EDA系統(tǒng)簡(jiǎn)介

華大電子推廣的應(yīng)用的九天EDA系統(tǒng)是我國自主研發(fā)的大規(guī)模集成電路設(shè)計(jì)EDA工具,與國際上主流EDA系統(tǒng)兼容,支持百萬門級(jí)的集成電路設(shè)計(jì)規(guī)模,可進(jìn)行國際通用的標(biāo)準(zhǔn)數(shù)據(jù)格式轉(zhuǎn)換,它已經(jīng)在商業(yè)化的集成電路設(shè)計(jì)公司以及東南大學(xué)等國內(nèi)二十多所高校中得到了應(yīng)用,特別是在模擬和高速集成電路的設(shè)計(jì)中發(fā)揮了作用,成功開發(fā)出了許多實(shí)用的集成電路芯片[6]。其主要包括下面幾個(gè)部分[7]:ZeniSE( Schematic Editor)原理圖編輯工具,它可以進(jìn)行EDIF格式轉(zhuǎn)換,支持第三方的Spice仿真嵌入; ) ZeniPDT ( Physical Design Tool)版圖編輯工具;它能提供多層次、多視窗、多單元的版圖編輯功能,同時(shí)能夠支持百萬門規(guī)模的版圖編輯操作;ZeniVERI ( Physical Design Verification Tools)版圖驗(yàn)證工具它可以進(jìn)行幾何設(shè)計(jì)規(guī)則檢查(DRC) 、電學(xué)規(guī)則檢查( ERC) 及邏輯圖網(wǎng)表和版圖網(wǎng)表比較(LVS)等。

版圖設(shè)計(jì)用到的工具模塊是ZeniPDT,它具備層次化編輯和在線設(shè)計(jì)規(guī)則檢查能力,并提供標(biāo)準(zhǔn)數(shù)據(jù)寫出接口。其設(shè)計(jì)流程如圖1所示[8],

4設(shè)計(jì)實(shí)例

任何一個(gè)CMOS數(shù)字電路系統(tǒng)都是由一些基本的邏輯單元(非門、與非門、或非門等)組成,而基本單元版圖的設(shè)計(jì)是基于晶體管級(jí)的電路圖設(shè)計(jì)的。因而在版圖設(shè)計(jì)中,主要涉及到如何設(shè)計(jì)掩膜版的形狀、如何排列晶體管、接觸孔的位置的安排以及信號(hào)引線的位置安排等。以下以一個(gè)用于數(shù)據(jù)采集的D觸發(fā)器為例進(jìn)行設(shè)計(jì)。

4.1 D觸發(fā)器電路圖及工作原理

D觸發(fā)器電路圖,如圖2所示,此電路圖是通過九天EDA系統(tǒng)工具的ZSE模塊構(gòu)建的,其基本工作原理是:首先設(shè)置CLB=1。當(dāng)時(shí)鐘信號(hào)CLK=0時(shí),DATA信號(hào)通過導(dǎo)通的TG1進(jìn)入主寄存器單元,從寄存器由于TG4的導(dǎo)通而形成閉合環(huán)路,鎖存原來的信號(hào),維持輸出信號(hào)不變。當(dāng)CLK從0跳變到1時(shí),主寄存器單元由于TG2的導(dǎo)通而形成閉合回路,鎖存住上半拍輸入的DATA信號(hào),這個(gè)信號(hào)同時(shí)又通過TG3經(jīng)一個(gè)與非門和一個(gè)反相器到達(dá)Q端輸出。當(dāng)CLK再從1跳變到0時(shí),D觸發(fā)器又進(jìn)入輸入信號(hào)并鎖存原來的輸出狀態(tài)。對(duì)于記憶單元有時(shí)必須進(jìn)行設(shè)置,電路中的CLB信號(hào)就擔(dān)當(dāng)了觸發(fā)器置0 的任務(wù)。當(dāng)CLB=0時(shí),兩個(gè)與非門的輸出被強(qiáng)制置到1,不論時(shí)鐘處于0還是1,輸出端Q均被置為0。

4.2 D觸發(fā)器子單元版圖設(shè)計(jì)

圖2所示的D觸發(fā)器由五個(gè)反相器、兩個(gè)與非門、兩個(gè)傳輸門和兩個(gè)鐘控反相器組成。選擇適當(dāng)?shù)倪壿嬮T單元版圖,用這些單元模塊構(gòu)成D觸發(fā)器。

對(duì)于全定制的集成電路版圖設(shè)計(jì),需要工作平臺(tái),包括設(shè)計(jì)硬件、設(shè)計(jì)使用的EDA軟件以及版圖設(shè)計(jì)的工藝文件和規(guī)則文件。此D觸發(fā)器的設(shè)計(jì)硬件是一臺(tái)SUN Ultra10工作站,設(shè)計(jì)軟件是九天EDA系統(tǒng),采用0.6um硅柵CMOS工藝。

CMOS反相器是數(shù)字電路中最基本單元,由一對(duì)互補(bǔ)的MOS管組成。上面為PMOS管(負(fù)載管),下面為NMOS管(驅(qū)動(dòng)管)。由反相器電路的邏輯“非”功能可以擴(kuò)展出“與非”、“或非”等基本邏輯電路,進(jìn)而得到各種組合邏輯電路和時(shí)序邏輯電路。

在電路圖中,各器件端點(diǎn)之間所畫的線表示連線,可以用兩條線的簡(jiǎn)單交叉來表示。但對(duì)于具體的物理版圖設(shè)計(jì),必須關(guān)心不同連線層之間物理上的相互關(guān)系。在硅CMOS工藝中,不能把N型和 P型擴(kuò)散區(qū)直接連接。因此,在物理結(jié)構(gòu)上必須有一種實(shí)現(xiàn)簡(jiǎn)單的漏極之間的連接方法。例如,在物理版圖中至少需要一條連線和兩個(gè)接觸孔。這條連線通常采用金屬線??傻萌鐖D3(a)所示的反相器的局部的符號(hào)電路版圖。同理,可以通過金屬線和接觸孔制作MOS管源端連接到電源VDD和地VSS的簡(jiǎn)單連線,如圖3(b)所示。電源線和地線通常采用金屬線,柵極連接可以用簡(jiǎn)單的多晶硅條制作。圖3(c)給出了最后的符號(hào)電路版圖。

通過九天版圖設(shè)計(jì)工具繪制的反相器版圖如圖4所示。其他基本單元的版圖可依此建立。

4.3 D觸發(fā)器版圖設(shè)計(jì)

先建立一個(gè)名為DFF的庫,然后把建立的各個(gè)單元版圖保存在DFF庫中,同時(shí)在庫中建立名為dff的新單元。調(diào)用各子單元,并進(jìn)行相應(yīng)D觸發(fā)器的版圖布局,接著就是單元間的連線。主要用到的層是金屬1、金屬2和多晶硅進(jìn)行連接布線。接觸孔是用來連接有源區(qū)和金屬1,通孔用來連接金屬1和金屬2,多晶硅和多晶硅以及相同層金屬之間可以直接連接。版圖設(shè)計(jì)完成后,再利用版圖驗(yàn)證工具ZeniVERI對(duì)該版圖進(jìn)行了版圖驗(yàn)證。最后,經(jīng)過驗(yàn)證后D觸發(fā)器的版圖如圖5所示。

5結(jié)語

在分析CMOS 0.6um設(shè)計(jì)規(guī)則和工藝文件后,采用九天EDA系統(tǒng),以D觸發(fā)器為例進(jìn)行了版圖設(shè)計(jì)。實(shí)踐表明,九天EDA系統(tǒng)工具具有很好的界面和處理能力。該版圖已用于相關(guān)芯片的設(shè)計(jì)中,設(shè)計(jì)的D觸發(fā)器完全符合設(shè)計(jì)要求。

參考文獻(xiàn)

[1] Chen A, Chen V, Hsu C. Statistical multi-objective optimization and its application to IC layout design for E-tests[C]. 2007 International Symposium on Semiconductor Manufacturing, ISSM - Conference Proceedings, 2007, 138-141.

[2] 程未, 馮勇建, 楊涵. 集成電路版圖(layout) 設(shè)計(jì)方法與實(shí)例[J]. 現(xiàn)代電子技術(shù), 2003, 26 (3) : 75-78.

[3] 王兆勇, 胡子陽, 鄭楊. 自動(dòng)布局布線及驗(yàn)證研究[J]. 微處理機(jī), 2008,1:3132.

[4] 王志功, 景為平. 集成電路設(shè)計(jì)技術(shù)與工具[M]. 南京:東南大學(xué)出版社, 2007:6-11.

[5] Jan M. Rabaey, Anantha Chandrakasan, Borivoje Nikolic. 周潤德譯. 數(shù)字集成電路――電路、系統(tǒng)與設(shè)計(jì)(第二版)[M], 北京:電子工業(yè)出版社, 2006, 48-51.

[6] 易茂祥, 毛劍波, 楊明武等. 基于華大EDA軟件的實(shí)驗(yàn)教學(xué)研究[J]. 實(shí)驗(yàn)科學(xué)與技術(shù), 2006, 5:71-72.

[7] China Integrated Circuit Design Center. Zeni Manual Version 3.2, 2004.

[8] 施敏, 徐晨. 基于九天EDA系統(tǒng)的集成電路版圖設(shè)計(jì)[J]. 南通工學(xué)院學(xué)報(bào)(自然科學(xué)版) , 2004, 3 (4):101-103.

第3篇:集成電路后端設(shè)計(jì)流程范文

PDFSolutions公司近日在上海開辦分公司,二十多位工程師將為中國的半導(dǎo)體業(yè)提供集成電路芯片良率提升的技術(shù)服務(wù)。PDFSolutions致力于幫助全球半導(dǎo)體廠商在各種先進(jìn)工藝技術(shù)中提高芯片可制造性和良率,該公司總裁兼CEO John Kibman表示,“作為全球提高良率、可制造力技術(shù)和服務(wù)的領(lǐng)先者,PDF感到很榮幸能為中國的客戶提供本地化快速服務(wù),設(shè)立該分公司可以讓中國半導(dǎo)體業(yè)借此機(jī)會(huì)了解我們?yōu)楸镜乜蛻籼峁┓?wù)的承諾和決心。在初期階段,上海分公司將成為PDFCV測(cè)試芯片數(shù)據(jù)分析中心。這一杰出的團(tuán)隊(duì)將以PDF十多年長(zhǎng)期研究、開發(fā)的經(jīng)驗(yàn)為基礎(chǔ),幫助集成電路制造商們加速良率和制造力的提升。”

PDF Solutions專注于工藝設(shè)計(jì)集成和IC制造服務(wù),該公司始建于1991年,前身為美國Carnegie Mellon大學(xué)SEMATCH的快速良率學(xué)習(xí)研究中心。目前總部位于美國加州硅谷,約有300名員工,分布在美國、日本、德國、意大利和現(xiàn)在中國上海PDF各分公司。PDF Solutions能促使半導(dǎo)體廠商提供更完美的IC設(shè)計(jì)和制造工藝,從而提高制造的容易度。經(jīng)由模擬深亞微米設(shè)計(jì)和工藝之間的相互影響,PDFSolutions能協(xié)助客戶縮短產(chǎn)品上市時(shí)間,提升芯片良率和提高產(chǎn)品的可靠性。

PDF自主研究、開發(fā)了一整套用于提高可制造性的專利系統(tǒng),包括經(jīng)驗(yàn)建模、仿真及其他一系列相關(guān)專門技術(shù)。由我們專家、工程師們組成的咨詢小組應(yīng)用這一系統(tǒng)幫助我們的客戶將芯片設(shè)計(jì)與工藝生產(chǎn)更加完善地結(jié)合起來(工藝一設(shè)計(jì)集成)??蛻粢虼丝梢栽诟痰臅r(shí)間內(nèi)更快地提升良率,縮短至量產(chǎn)時(shí)間及降低芯片的制造成本。目前半導(dǎo)體己經(jīng)由亞微米向深亞微米技術(shù)過渡,在制造工藝由130hm、90nm發(fā)展到65nm甚至45nm時(shí),如何提高良率已經(jīng)變得越來越突出。產(chǎn)業(yè)權(quán)威人士認(rèn)為,在90nm工藝時(shí),設(shè)計(jì)流程對(duì)于制造環(huán)節(jié)的影響開始凸現(xiàn),但進(jìn)入65nm后問題更加突出,如何保證產(chǎn)品在設(shè)計(jì)流程中滿足可制造性(Design-for-Manufacturing,DFM)的要求,需要EDA工具供應(yīng)商和后端制造廠商和服務(wù)商的共同努力。為此,PDF Solutions與Cadence公司宣布達(dá)成合作意向,雙方將在可制造性設(shè)計(jì)技術(shù)和產(chǎn)品領(lǐng)域進(jìn)行合作,以提高IC制造能力、成品率和可靠性。

Cadence總裁兼首席執(zhí)行官M(fèi)ikeFister介紹說:“隨著工藝尺寸向65納米及更低的幾何級(jí)別發(fā)展,單靠設(shè)計(jì)或制造的過程,都無法達(dá)到更高的成品率和可靠性目標(biāo),作為IC設(shè)計(jì)和成品率提升領(lǐng)域的領(lǐng)導(dǎo)者,Cadence和PDFSolutions將會(huì)開發(fā)一個(gè)DFM架構(gòu)藍(lán)圖,并合作提供強(qiáng)大解決方案,以使客戶提升制造能力,并提高其最具挑戰(zhàn)性IC產(chǎn)品的成品率和可靠性。”PDFSolutions總裁兼首席執(zhí)行官JohnKibadan認(rèn)為:“PDFSolutions已經(jīng)利用其專有的Characterization Vehicle測(cè)試芯片基礎(chǔ)架構(gòu)中抽取的數(shù)據(jù)開發(fā)出多種成品率模型,并且這基礎(chǔ)架構(gòu)已被全球頂尖芯片及系統(tǒng)公司用于生產(chǎn)制造。我們相信PDFSolutions成品率模型與Cadence設(shè)計(jì)及驗(yàn)證解決方案的結(jié)合,將會(huì)讓我們的客戶能夠策略性地管理和執(zhí)行DFM及成品率提升計(jì)劃,并貫穿于從IC設(shè)計(jì)到硅制造的全過程,為他們提供了極強(qiáng)的競(jìng)爭(zhēng)優(yōu)勢(shì)?!?/p>

為了在有效控制成本的前提下提高可靠IC產(chǎn)品的成品率,在所有的設(shè)計(jì)階段和制造流程中都必須無縫地考慮成品率的影響。PDF Solutions與Cadence計(jì)劃推出一系列產(chǎn)品,以提高客戶了解、管理和提高制造成品率的能力。

第4篇:集成電路后端設(shè)計(jì)流程范文

大約從20世紀(jì)80年代起,就有許多業(yè)內(nèi)專家宣稱模擬電路已走進(jìn)死胡同,而數(shù)字應(yīng)用將在電子世界中大放異彩,包括用在通信上的集成電路(integrated circuits,ICs)。在現(xiàn)實(shí)中,當(dāng)然,現(xiàn)代化的通信系統(tǒng)同時(shí)需要將模擬及數(shù)字功能復(fù)雜地融合在一起。

不過有一個(gè)問題,比起它的數(shù)字同胞,在支持自動(dòng)化能力這方面,模擬設(shè)計(jì)及驗(yàn)證工具卻遠(yuǎn)遠(yuǎn)落后。其結(jié)果,模擬設(shè)計(jì)工程師的生產(chǎn)力遠(yuǎn)不及數(shù)字搭檔來得強(qiáng)。

就以數(shù)字集成電路設(shè)計(jì)為例,現(xiàn)代最先進(jìn)的設(shè)計(jì)環(huán)境提供了高階的自動(dòng)化,即使是包含上億個(gè)晶體管的最復(fù)雜設(shè)計(jì),也能在短短幾天內(nèi)重新轉(zhuǎn)給新的代工廠、同一座代工廠但不同的制程、甚至全新的技術(shù)節(jié)點(diǎn)。

相對(duì)地,缺乏自動(dòng)化支持的傳統(tǒng)式模擬設(shè)計(jì)環(huán)境,代表模擬電路的制作及修改幾乎全靠人工。這樣的結(jié)果,即使把相當(dāng)簡(jiǎn)單的模擬功能轉(zhuǎn)向新的代工廠、制程或技術(shù)節(jié)點(diǎn),也要耗費(fèi)6~12個(gè)月的時(shí)間。換言之,雖然尖端的數(shù)字設(shè)計(jì)已經(jīng)達(dá)到32nm的技術(shù)節(jié)點(diǎn),絕大多數(shù)的模擬設(shè)計(jì)仍深陷在130nm及250nm節(jié)點(diǎn)的泥沼之中,那算是5~10年前的老舊技術(shù)了。

首先,本文先提出數(shù)字設(shè)計(jì)及驗(yàn)證技術(shù)演進(jìn)的概觀,并說明現(xiàn)代最先進(jìn)數(shù)字設(shè)計(jì)環(huán)境在支持高階自動(dòng)化上的生產(chǎn)力優(yōu)勢(shì)。本文接著提出模擬設(shè)計(jì)及驗(yàn)證技術(shù)演進(jìn)的概觀,并且拿來跟數(shù)字的自動(dòng)化能力做對(duì)比。

最后,本文討論了模擬工具必須予以強(qiáng)化以支持更高階自動(dòng)化的方法;同時(shí)也闡述了現(xiàn)代化IC設(shè)計(jì)環(huán)境必須強(qiáng)化的方法,以具備足以支持真正的、統(tǒng)一的、全芯片混合信號(hào)設(shè)計(jì)、驗(yàn)證、及實(shí)現(xiàn)的能力。

數(shù)字工具的演進(jìn)

早期的數(shù)字IC設(shè)計(jì),約20世紀(jì)60年代初期,電子電路皆以手工建立。電路圖(原理圖)都是用紙筆及印刷模板以手繪制。這些圖面顯示邏輯門與功能的各式符號(hào),并且用來實(shí)現(xiàn)符號(hào)之間連線的設(shè)計(jì)。

執(zhí)行“功能驗(yàn)證”時(shí),通常是一群工程師圍坐在桌子旁,通過原理圖兢兢業(yè)業(yè)地討論:“這部分我看應(yīng)該沒問題!”同樣地,進(jìn)行“時(shí)序驗(yàn)證”時(shí),典型的做法也是靠著紙和筆。最后,用來組成晶體管、電阻器及彼此之間互連的架構(gòu)都是以人工繪制而成的。

毫無疑問,這種手工藝品方式的設(shè)計(jì)極為耗時(shí),而且很容易出錯(cuò)。這種情形必須要有解決之道,于是有些公司及大學(xué)就率先跳出來,采用各種不同的研究方向。就設(shè)計(jì)獲取(design capture)而言,門級(jí)(gate-level)的“原理圖獲取”套件即在市場(chǎng)上開始出現(xiàn),至于功能及時(shí)序驗(yàn)證,在20世紀(jì)60~70年代初期所看到的,則是先出現(xiàn)以“事件驅(qū)動(dòng)邏輯仿真器”及“靜態(tài)時(shí)序分析器”為形式的專門程序。

以抽象的門級(jí)建立數(shù)字設(shè)計(jì),就如同使用匯編語言撰寫軟件程序一般。就執(zhí)行效率及所需的計(jì)算機(jī)內(nèi)存數(shù)量而言,匯編語言的程序或許是不錯(cuò)的實(shí)施,但它需要很長(zhǎng)時(shí)間的獲取及確認(rèn),而且不容易轉(zhuǎn)到另一臺(tái)計(jì)算機(jī)上。同樣,門級(jí)的表示方式也需要很長(zhǎng)的時(shí)間獲取及確認(rèn),轉(zhuǎn)移到新的代工廠或制程/技術(shù)節(jié)點(diǎn)也相當(dāng)困難。

至于軟件方面,開發(fā)者的解決方案則以程序語言(如C語言)的形式,提升至另一個(gè)更高層次的抽象概念。然后,這些高級(jí)表達(dá)式可以編譯成計(jì)算機(jī)所需的機(jī)器級(jí)指令。這些高級(jí)表達(dá)式的優(yōu)點(diǎn)是,可容許軟件開發(fā)者迅速而精準(zhǔn)地捕捉到程序的含義,確認(rèn)其功能。同時(shí),以C語言撰寫的程序可以很容易地轉(zhuǎn)移到其他的計(jì)算機(jī)平臺(tái)。

同樣,對(duì)于數(shù)字邏輯而言,設(shè)計(jì)工程師也開始提升至更高階的抽象概念,稱之為“寄存器傳輸層”(Register Transfer Level,RTL)。在20世紀(jì)80~90年代初期登場(chǎng)的“邏輯綜合”(logic synthesis)則用來將RTL表示式編譯成對(duì)應(yīng)的門級(jí)網(wǎng)表(netlist)。這項(xiàng)“前端”綜合技術(shù)另以“后端”的自動(dòng)布局布線(place-and-route)引擎補(bǔ)其不足之處,后者可從門級(jí)網(wǎng)表,執(zhí)行設(shè)計(jì)的物理實(shí)現(xiàn)。

循著C語言程序在編譯后能用在不同計(jì)算機(jī)上的足跡,RTL與邏輯綜合的組合讓數(shù)字設(shè)計(jì)能更輕易地移植到新的代工廠或制程/技術(shù)節(jié)點(diǎn)。

模擬工具的演進(jìn)

實(shí)際上,模擬電路的計(jì)算機(jī)輔助設(shè)計(jì)與驗(yàn)證工具,在早期是優(yōu)于數(shù)字電路的。模擬電子系統(tǒng)設(shè)計(jì)在剛起步的時(shí)候,電子電路完全靠人工繪制。晶體管層的電路圖完全用紙筆及印刷模板以手工繪制,再搭配基本的“紙筆”分析及驗(yàn)證。

在設(shè)計(jì)由離散(獨(dú)立封裝)的元器件例如晶體管、電阻器、電容器及電感組成時(shí),通常是建立設(shè)計(jì)的實(shí)體原型,將它放上測(cè)試平臺(tái)(test bench),測(cè)量實(shí)際的數(shù)值,以判定性能優(yōu)異,然后參考元器件所得的數(shù)值,新增或移除所需的元器件,以達(dá)到期望的效果。

很顯然,這種方法在開始建立第一片模擬IC時(shí)并不可行,因?yàn)镮C設(shè)計(jì)的工程變更代價(jià)非常昂貴。在20世紀(jì)60~70年代初期,有幾所大學(xué)及商業(yè)公司著手開發(fā)模擬仿真器。這些程序讓學(xué)生及工程師得以仿真模擬電路,而無須實(shí)際付諸行動(dòng)制造。早期的幾個(gè)仿真器中,最有名的大概就是“SPICE”(Simulation Program with Integrated Circuit Emphasis),這套程序是由加州大學(xué)柏克萊分校所開發(fā),并在70年代初期廣為流傳供大家使用。

隨著時(shí)間的演進(jìn),模擬仿真在基本模型及算法的復(fù)雜度,以及仿真引擎的能力與表現(xiàn)上,有顯著的發(fā)展。多數(shù)今日所使用的模擬工具都發(fā)祥成形于20世紀(jì)90年代的初期與中期。和其他不同的是,這些工具的基本結(jié)構(gòu)從未試圖支持混合信號(hào)設(shè)計(jì)環(huán)境的復(fù)雜需求,一如本文稍后章節(jié)的討論。

或許更重要的是,現(xiàn)今的模擬設(shè)計(jì)及驗(yàn)證工具在實(shí)質(zhì)上僅限于捕捉及模擬晶體管級(jí)的單線圖。到目前為止,有關(guān)自動(dòng)化的成功案例仍屬鳳毛麟爪,例如:

在高階抽象概念上描述模擬功能,然后用來生成等效的晶體管級(jí)電路。

自動(dòng)優(yōu)化模擬電路。

自動(dòng)布局布線模擬電路。

最終的結(jié)局是,模擬集成電路仍舊大多處于全定制,并以人工方式費(fèi)心費(fèi)力繪制。除了非常昂貴、耗時(shí)、容易出錯(cuò)以外,這類晶體管級(jí)的設(shè)計(jì)型態(tài)并不容許現(xiàn)有的設(shè)計(jì)簡(jiǎn)簡(jiǎn)單單地就能轉(zhuǎn)換到新的代工廠或制程/技術(shù)節(jié)點(diǎn)。相反的是,欲移植這類型的設(shè)計(jì)需要將電路重頭開始,重新實(shí)施,耗時(shí)9~12個(gè)月是常有的事。

這也有助于說明為何最尖端的數(shù)字設(shè)計(jì)目前已邁入32nm的技術(shù)節(jié)點(diǎn),但最先進(jìn)的模擬設(shè)計(jì)只在90nm節(jié)點(diǎn),而且大部分的模擬設(shè)計(jì)依然深陷在130nm及250nm節(jié)點(diǎn)的泥沼中,那算是5~10 年前的老舊技術(shù)了。

模擬自動(dòng)化的要求

此處所說的要求可以簡(jiǎn)要地說明之;如何實(shí)際達(dá)成可說非常地復(fù)雜。最低限度,強(qiáng)化后的模擬設(shè)計(jì)工具必須能提供與數(shù)字設(shè)計(jì)相類似的自動(dòng)化及生產(chǎn)力能力。這些自動(dòng)化能力應(yīng)包括但不限于以下:

在高階抽象概念下確認(rèn)模擬功能的能力,然后自動(dòng)將表示式編譯成等價(jià)的晶體管層級(jí)。

自動(dòng)執(zhí)行模擬精細(xì)改進(jìn)及優(yōu)化的能力。

自動(dòng)在IC上布局模擬零組件的能力。

自動(dòng)在IC上布線模擬零組件的能力。

從某制程/技術(shù)節(jié)點(diǎn)自動(dòng)移植模擬設(shè)計(jì)制程至另一個(gè),以及從某代工廠移植至另一家的能力。

從某方面來說,需考慮的最后一點(diǎn)就是所有其他點(diǎn)的疊合。老實(shí)說,需耗費(fèi)6~12個(gè)月才能將模擬設(shè)計(jì)轉(zhuǎn)移到新的技術(shù)節(jié)點(diǎn)早已令人無法接受。若能透過自動(dòng)化將此過程降低到僅需數(shù)天的時(shí)間,模擬功能即可享受到功耗及最新技術(shù)節(jié)點(diǎn)性能特征的完全優(yōu)勢(shì)。

混合信號(hào)的考慮

直到最近,大部分的集成電路在性質(zhì)上若不是純數(shù)字,就是純模擬。因此,很自然地,任何用來設(shè)計(jì)或驗(yàn)證這些器件所使用的計(jì)算機(jī)輔助設(shè)計(jì)工具,都是只為數(shù)字或只為模擬的領(lǐng)域單獨(dú)設(shè)計(jì)的。

初期的通信系統(tǒng)是由一大堆相當(dāng)簡(jiǎn)單的模擬及數(shù)字IC所組成。隨著時(shí)間的歷程,為了滿足多樣化的要求,例如尺寸、成本、功率、性能及可靠度,越來越多的功能結(jié)合在越來越少的芯片上。開始只是將多種模擬功能合并在特定的模擬芯片上,將多種數(shù)字功能合并在數(shù)字芯片上。直到最近,終于將模擬及數(shù)字功能結(jié)合在單一的混合信號(hào)裝置上。

經(jīng)過這些年的發(fā)展,雖然傳統(tǒng)的模擬與數(shù)字設(shè)計(jì)及驗(yàn)證工具,在容量及性能上已有長(zhǎng)足地進(jìn)步,但其最基本的底層架構(gòu)大部分仍是以20世紀(jì)90年代中期的技術(shù)為基礎(chǔ),而這些工具依舊專注在模擬或數(shù)字的領(lǐng)域。舉一個(gè)簡(jiǎn)單的例子,模擬與數(shù)字的工具及流程使用不同的數(shù)據(jù)庫,因此這兩個(gè)領(lǐng)域之間的交互非常困難。其結(jié)果是數(shù)字及模擬的設(shè)計(jì)團(tuán)隊(duì)向來都是井水不犯河水,甚少關(guān)注對(duì)方到底是在研究什么。

即使是現(xiàn)代“最先進(jìn)”的混合信號(hào)及全定制設(shè)計(jì)環(huán)境,數(shù)字與模擬團(tuán)隊(duì)大多還是各自獨(dú)立作業(yè),甚少涉足到對(duì)方的領(lǐng)域中。在芯片最后整合(chip finishing)的階段,也就是將模擬模塊和數(shù)字模塊擺放在一起并走線的時(shí)候,兩個(gè)團(tuán)隊(duì)才首次見面并互相介紹認(rèn)識(shí),這種情況并不罕見。

芯片最后整合通常是以人工的方式執(zhí)行,其中發(fā)生在芯片投片之前的就有許多工作。由于缺少自動(dòng)化,芯片最后整合活動(dòng)及動(dòng)作常常不能反饋回原來的模塊設(shè)計(jì),這有可能導(dǎo)致成為下一代芯片在設(shè)計(jì)重用上產(chǎn)生問題。

總結(jié)

第5篇:集成電路后端設(shè)計(jì)流程范文

1硬件系統(tǒng)設(shè)計(jì)

根據(jù)功能要求,硬件系統(tǒng)包括以下幾個(gè)模塊:FPGA及配置電路模塊、電機(jī)驅(qū)動(dòng)模塊、編碼器信號(hào)采集模塊、RS422通信模塊以及電源模塊。

1.1FPGA及配置電路模塊本系統(tǒng)中,F(xiàn)PGA作為控制芯片,其作用至關(guān)重要。首先,要給A3977提供控制信號(hào)用以驅(qū)動(dòng)步進(jìn)電機(jī)工作;其次,采集絕對(duì)值編碼器CMV22的角度數(shù)據(jù),實(shí)現(xiàn)閉環(huán)控制;最后,負(fù)責(zé)和上位機(jī)通信,執(zhí)行上位機(jī)的控制命令,并反饋轉(zhuǎn)臺(tái)的運(yùn)行狀態(tài)。FPGA選用APA600,APA600是ACTEL公司基于Flash工藝的FPGA器件,此系列的FPGA為ACTEL公司的第二代產(chǎn)品,憑借其宇航級(jí)品質(zhì),此系列FPGA一直應(yīng)用在我國軍工、航天領(lǐng)域,并發(fā)揮重大作用,系統(tǒng)門數(shù)為60萬門,具有126K的內(nèi)嵌RAM,可以滿足本系統(tǒng)的設(shè)計(jì)要求。FPGA需要I/O電源3.3V和內(nèi)核電源1.5V,均由電源模塊提供。此外,本系統(tǒng)選用頻率為16MHz的外部有源晶振,為FPGA提供時(shí)鐘,MAX706提供硬件復(fù)位信號(hào)。

1.2電機(jī)驅(qū)動(dòng)模塊轉(zhuǎn)臺(tái)的3個(gè)方向均采用兩相混合式步進(jìn)電機(jī)[3],采用28V的電壓,用FPGA通過Allegro公司的A3977驅(qū)動(dòng)控制電機(jī)工作。A3977是一種用于雙極型步進(jìn)電機(jī)的微步進(jìn)電機(jī)驅(qū)動(dòng)集成電路,其內(nèi)部集成了步進(jìn)和直接譯碼接口、正反轉(zhuǎn)控制電路、雙H橋驅(qū)動(dòng),電流輸出2.5A,最大輸出功率可接近90W。由于采用了內(nèi)置譯碼器技術(shù),A3977可以很容易的使用最少的控制線對(duì)步進(jìn)電機(jī)實(shí)施微步進(jìn)控制[4-5]。由FPGA提供的步進(jìn)控制信號(hào)有步進(jìn)輸入(STEP)、步進(jìn)模式邏輯輸入(MS1,MS2)以及方向控制信號(hào)(DIR)以及電機(jī)運(yùn)轉(zhuǎn)使能控制信號(hào)(EN),如圖4所示。圖中A+、A-和B+、B-分別接至步進(jìn)電機(jī)的兩路線圈中,通過電流按一定規(guī)律變化,就會(huì)使電機(jī)做出相應(yīng)的轉(zhuǎn)動(dòng)。在工作時(shí),EN管腳處于低電平時(shí)有效,此時(shí)當(dāng)STEP輸入的上升沿到來后,內(nèi)置譯碼器將根據(jù)步進(jìn)邏輯的輸入值(步進(jìn)模式見表1)控制H橋的輸出,使電機(jī)在當(dāng)前步進(jìn)模式下產(chǎn)生1次步進(jìn)。

1.3編碼器信號(hào)采集模塊本系統(tǒng)中,對(duì)于角度的精確度具有極高的要求,我們采用德國TR的多圈絕對(duì)值編碼器CMV22讀取角度信號(hào),絕對(duì)編碼器具有斷電記憶的功能,此編碼器單圈分辨率4096,即最小分辨率約為0.0879°,最多可以讀取256圈,再加上轉(zhuǎn)臺(tái)三個(gè)方向減速器機(jī)構(gòu)傳動(dòng)比(俯仰傳動(dòng)比最小1:90,水平轉(zhuǎn)臺(tái)傳動(dòng)比1:180,角位轉(zhuǎn)臺(tái)傳動(dòng)比1:352),那么換算過來俯仰、水平、角位的最小分辨率分別為0.000977°、0.000488°和0.000250°。絕對(duì)值編碼器輸出的脈沖信號(hào)采用同步串行接口(SynchronousSerialInterface,簡(jiǎn)稱SSI接口),SSI接口是高精度絕對(duì)值角度編碼器中一種較常用的接口方式,基于RS422的通信技術(shù),包含一對(duì)時(shí)鐘信號(hào)及一對(duì)數(shù)據(jù)輸出信號(hào),采用主機(jī)主動(dòng)式讀出方式,即在主控者發(fā)出的時(shí)鐘脈沖的控制下,從最高有效位(MSB)開始同步傳輸,如圖5所示。

1.4RS422通信模塊和電源模塊RS422通信模塊采用TEXASINSTRUMENTS公司生產(chǎn)的DS26LV31AT和DS26LV32AT,分別把FPGA發(fā)送、接收信號(hào)轉(zhuǎn)化成差分信號(hào),通過TXEN和RXEN可以對(duì)發(fā)送接收使能控制,再將RS422通過轉(zhuǎn)換器轉(zhuǎn)為RS232協(xié)議與上位機(jī)通信。所需的時(shí)鐘、使能和輸出使能信號(hào)均由FPGA提供。系統(tǒng)電源部分,主要包括28V、3.3V和1.5V。其中28V為系統(tǒng)直流輸入電源,為外部的電機(jī)和編碼器供電;3.3V通過DC/DC轉(zhuǎn)化得到,為FPGA供電,并與28V電源完全隔離;1.5V由3.3V通過三端穩(wěn)壓芯片產(chǎn)生,為FPGA內(nèi)核供電。為了防止前端大功率電路影響到后端的控制和信號(hào)傳輸部分,將28V電源隔離與后端隔離,28V采用一次地,3.3V和1.5V共用二次地,電路如圖7所示。

2FPGA邏輯設(shè)計(jì)

本系統(tǒng)的FPGA程序使用硬件描述語言VerilogHDL編寫,F(xiàn)PGA程序工作流程圖如下圖所示。轉(zhuǎn)臺(tái)的3個(gè)方向依次運(yùn)動(dòng)到設(shè)定的位置,當(dāng)達(dá)到指定位置時(shí)電機(jī)停止,然后轉(zhuǎn)動(dòng)下一個(gè)方向的電機(jī)直到轉(zhuǎn)臺(tái)的3個(gè)方向設(shè)定完畢,然后就可控制發(fā)射激光打向預(yù)定的位置。當(dāng)監(jiān)控實(shí)驗(yàn)結(jié)束后,轉(zhuǎn)臺(tái)用相同的方法,回轉(zhuǎn)到初始位置。FPGA的功能模塊主要包括422串行通信和電機(jī)閉環(huán)控制。

2.1422串行通信RS422負(fù)責(zé)與上層工控機(jī)通信,接收上位機(jī)的控制指令,發(fā)送轉(zhuǎn)臺(tái)的狀態(tài)參數(shù)、工程參數(shù),實(shí)現(xiàn)信息互通。RS422異步串行接口的波特率為115.2kbps,其頻率由系統(tǒng)時(shí)鐘16.384MHz經(jīng)過計(jì)數(shù)器分頻得到,數(shù)據(jù)幀格式由1位起始位、8位數(shù)據(jù)位、1位校驗(yàn)位(奇校驗(yàn))和1位停止位構(gòu)成。串行通信模塊可分為發(fā)送子模塊和接收子模塊,均由狀態(tài)機(jī)實(shí)現(xiàn)。發(fā)送子模塊,F(xiàn)PGA按照軟件配置的數(shù)據(jù)包發(fā)送間隔,將內(nèi)部寄存器按地址順序依次組包發(fā)送;接收子模塊,首先對(duì)輸入的數(shù)據(jù)進(jìn)行3取2處理,處理后的串行數(shù)據(jù)按照RS422鏈路層協(xié)議進(jìn)行串并轉(zhuǎn)換,解析出有效數(shù)據(jù)的同時(shí)完成數(shù)據(jù)的奇校驗(yàn),并寫入寄存器接口模塊。如果校驗(yàn)結(jié)果不正確,則錯(cuò)計(jì)數(shù)寄存器計(jì)數(shù),進(jìn)行錯(cuò)誤統(tǒng)計(jì)。

2.2電機(jī)閉環(huán)控制該模塊負(fù)責(zé)對(duì)3臺(tái)電機(jī)的分時(shí)控制,根據(jù)配置的參數(shù)選擇對(duì)應(yīng)電機(jī)工作,產(chǎn)生控制電機(jī)運(yùn)行的驅(qū)動(dòng)信號(hào),控制電機(jī)以一定速度運(yùn)轉(zhuǎn)至預(yù)定位置。為了保證轉(zhuǎn)臺(tái)控制系統(tǒng)的功率不至于太高,控制的3臺(tái)電機(jī)使能信號(hào)互斥,通過使能信號(hào)依次選擇啟動(dòng)某一個(gè)電機(jī)運(yùn)轉(zhuǎn),結(jié)束一次運(yùn)行過程后,再切換至下一個(gè)電機(jī)。通用驅(qū)動(dòng)控制FPGA根據(jù)上位機(jī)設(shè)定的角度預(yù)先配置電機(jī)閉環(huán)行程設(shè)置寄存器,電機(jī)開始運(yùn)行,當(dāng)電機(jī)閉環(huán)行程輸出寄存器與閉環(huán)行程設(shè)置寄存器一致時(shí),則表示本次設(shè)置的轉(zhuǎn)動(dòng)結(jié)束,反饋電機(jī)運(yùn)行狀態(tài)標(biāo)志位。

3實(shí)驗(yàn)結(jié)果

本系統(tǒng)硬件原理圖和PCB均采用Cadence軟件繪制,F(xiàn)PGA開發(fā)環(huán)境為L(zhǎng)ibero,綜合工具為SynplifyPro,仿真工具采用ModelSim。制板、程序調(diào)通后,并配合相應(yīng)的上位機(jī)及通過網(wǎng)絡(luò)連接的遠(yuǎn)端控制機(jī),測(cè)試轉(zhuǎn)臺(tái)精度是否滿足設(shè)計(jì)要求。將激光打到距離激光發(fā)射器3m遠(yuǎn)處的墻上,通過設(shè)定轉(zhuǎn)臺(tái)3個(gè)坐標(biāo)值,并用坐標(biāo)紙做標(biāo)記,轉(zhuǎn)臺(tái)歸零重啟后,重新打到預(yù)先標(biāo)記的點(diǎn),定位點(diǎn)基本重合,誤差在0.5mm以內(nèi),對(duì)于3m的距離,也就是<0.01°,激光轉(zhuǎn)臺(tái)控制系統(tǒng)滿足設(shè)計(jì)要求。

4結(jié)論

第6篇:集成電路后端設(shè)計(jì)流程范文

現(xiàn)代集成電路設(shè)計(jì)中,芯片的規(guī)模和復(fù)雜度正呈指數(shù)增加。尤其在ASIC設(shè)計(jì)流程中,驗(yàn)證和調(diào)試所花的時(shí)間約占總工期的70%。為了縮短驗(yàn)證周期,在傳統(tǒng)的仿真驗(yàn)證的基礎(chǔ)上,涌現(xiàn)了許多新的驗(yàn)證手段,如斷言驗(yàn)證、覆蓋率驅(qū)動(dòng)的驗(yàn)證,以及廣泛應(yīng)用的基于現(xiàn)場(chǎng)可編程器件(FPGA)的原型驗(yàn)證技術(shù)。

采用FPGA原型技術(shù)驗(yàn)證ASIC設(shè)計(jì),首先需要把ASIC設(shè)計(jì)轉(zhuǎn)化為FPGA設(shè)計(jì)。但ASIC是基于標(biāo)準(zhǔn)單元庫,F(xiàn)PGA則是基于查找表,ASIC和FPGA物理結(jié)構(gòu)上的不同,決定了ASIC代碼需要一定的修改才能移植到FPGA上。但應(yīng)該注意到這只是由于物理結(jié)構(gòu)不同而對(duì)代碼進(jìn)行的轉(zhuǎn)換,并不改變其功能,因此對(duì)代碼的這種修改只能限制在――定范圍內(nèi)。

基本原理

基于FPGA原型驗(yàn)證的流程

由于FPGA的可編程特性,基于FPGA的原型技術(shù)已經(jīng)被廣泛采用。和仿真軟件相比,F(xiàn)PGA的硬件特性可以讓設(shè)計(jì)運(yùn)行在較高的頻率上,加速仿真。另一方面,可以在ASIC芯片設(shè)計(jì)前期并行設(shè)計(jì)電路及應(yīng)用軟件,縮短了芯片驗(yàn)證周期。

FPGA原型驗(yàn)證和其他驗(yàn)證方法是不同的,任何一種其他驗(yàn)證方法都是ASIC驗(yàn)證中的一個(gè)環(huán)節(jié),而FPGA驗(yàn)證卻是一個(gè)過程。由于FPGA與ASIC在結(jié)構(gòu),性能上各不相同,ASIC是基于標(biāo)準(zhǔn)單元庫,F(xiàn)PGA用的是廠商提供的宏單元模塊,因此首先要進(jìn)行寄存器傳輸級(jí)(RTL)代碼的修改。然后進(jìn)行FPGA器件映射,映射工具根據(jù)設(shè)置的約束條件對(duì)RTL代碼進(jìn)行邏輯優(yōu)化,并針對(duì)選定的FPGA器件的基本單元映射生成網(wǎng)表。接著進(jìn)行布局布線,生成配置文件和時(shí)序報(bào)告等信息。當(dāng)時(shí)序能滿足約束條件時(shí),就可以利用配置文件進(jìn)行下載。如果時(shí)序不能滿足約束,可通過軟件報(bào)告時(shí)序文件來確認(rèn)關(guān)鍵路徑,進(jìn)行時(shí)序優(yōu)化??梢酝ㄟ^修改約束條件,或者修改RTL代碼來滿足要求。

需要轉(zhuǎn)換的代碼

(1)存儲(chǔ)單元

存儲(chǔ)單元是必須進(jìn)行代碼轉(zhuǎn)換的,ASIC中的存儲(chǔ)單元通常用代工廠所提供的Memory Compiler來定制,它可以生成.gsp、v等文件。v文件只用來做功能仿真,通常不能綜合。而最后流片時(shí),只需將標(biāo)準(zhǔn)提供給代工廠。如果直接將ASIC代碼中的存儲(chǔ)單元作為FPGA的輸入,通常綜合器是綜合不出來的,即使能綜合出來,也要花費(fèi)很長(zhǎng)時(shí)間,并且資源消耗多、性能不好。而FPGA廠商其實(shí)已經(jīng)提供了經(jīng)過驗(yàn)證并優(yōu)化的存儲(chǔ)單元。因此存儲(chǔ)單元要進(jìn)行代碼轉(zhuǎn)換。

(2)時(shí)鐘單元

數(shù)字電路中,時(shí)鐘是整個(gè)電路最重要,最特殊的信號(hào)。在ASIC中,用布局布線工具來放置時(shí)鐘樹,利用代工廠提供的PLL進(jìn)行時(shí)鐘設(shè)計(jì)。FPGA中通常已經(jīng)配置一定數(shù)量的PLL宏單元,并有針對(duì)時(shí)鐘優(yōu)化的全局時(shí)鐘網(wǎng)絡(luò),一般是經(jīng)過FPGA的特定全局時(shí)鐘管腳進(jìn)入FPGA內(nèi)部,后經(jīng)過全局時(shí)鐘BUF適配到全局時(shí)鐘網(wǎng)絡(luò)的,這樣的時(shí)鐘網(wǎng)絡(luò)可以保證相同的時(shí)鐘沿到達(dá)芯片內(nèi)部每一個(gè)觸發(fā)器的延遲時(shí)間差異是可以忽略不計(jì)的。因此時(shí)鐘單元也是需要進(jìn)行轉(zhuǎn)換的。

(3)增加流水

由于實(shí)現(xiàn)結(jié)構(gòu)上的不同,F(xiàn)PGA器件內(nèi)部的單元延時(shí)遠(yuǎn)大于ASIC的基本門單元延時(shí)。導(dǎo)致在同樣設(shè)計(jì)的情況下,ASIC可以滿足其時(shí)序,而FPGA有可能無法滿足。為了驗(yàn)證的需要,修改ASIC代碼實(shí)現(xiàn)FPGA原型時(shí),對(duì)ASlC實(shí)現(xiàn)的流水結(jié)構(gòu)在FPGA實(shí)現(xiàn)時(shí)需要適當(dāng)增加流水。比如在一個(gè)很長(zhǎng)的組合邏輯路徑中加入寄存器。如圖1所示。

(4)同步設(shè)計(jì)

在FPGA設(shè)計(jì)中,同步設(shè)計(jì)是應(yīng)該遵循的重要原則。異步設(shè)計(jì)容易導(dǎo)致電路處于亞穩(wěn)態(tài),產(chǎn)生毛刺。當(dāng)從ASIC設(shè)計(jì)轉(zhuǎn)向FPGA設(shè)計(jì)時(shí),應(yīng)該進(jìn)行仔細(xì)的同步。具體體現(xiàn)在主時(shí)鐘選取、功能模塊的統(tǒng)一復(fù)位,同步時(shí)序電路設(shè)計(jì)。

在FPGA設(shè)計(jì)中要使用時(shí)鐘使能代替門控時(shí)鐘。在ASIC的設(shè)計(jì)中,為了減少功耗,使用門控時(shí)鐘(clockgating),門控時(shí)鐘的結(jié)構(gòu)如圖2所示。當(dāng)寫有效時(shí),數(shù)據(jù)才寫進(jìn)存儲(chǔ)器,那么只有寫有效時(shí),寄存器才會(huì)發(fā)生翻轉(zhuǎn),這樣可以減少功耗。

由于設(shè)計(jì)的異步特性,對(duì)于FPGA來說,使用這種門控時(shí)鐘容易產(chǎn)生毛刺,導(dǎo)致數(shù)據(jù)不正確。所以在FPGA設(shè)計(jì)中,使用有使能信號(hào)的電路來替換門控時(shí)鐘電路。可以在寄存器前面加上MUX來實(shí)現(xiàn)時(shí)鐘使能信號(hào),如圖3所示?,F(xiàn)在的FPGA廠商則提供可以直接有使能,同步SET和RESET引腳的寄存2S,如圖4所示。

(5)充分利用FPGA中已有的IP核

FPGA廠商及第三方廠商已經(jīng)實(shí)現(xiàn)井優(yōu)化了很多典型的IP核,例如Xilinx提供了基礎(chǔ)邏輯、總線接口與I/O、視頻與圖像處理、數(shù)字信號(hào)處理、存儲(chǔ)器接口、微處理器、控制器等大量IP核。在代碼轉(zhuǎn)換時(shí)可以充分利用這些資源,對(duì)代碼進(jìn)行優(yōu)化來提高設(shè)計(jì)性能。如在FPGA中使用SRL實(shí)現(xiàn)移位寄存器,用三態(tài)Buffer來替換三態(tài)總線和三態(tài)MUX,改進(jìn)算術(shù)單元和有限狀態(tài)機(jī)的編碼。

代碼轉(zhuǎn)換的實(shí)現(xiàn)

結(jié)合同濟(jì)大學(xué)微電子中心的“32位高性能嵌入式CPU開發(fā)”項(xiàng)目,為了在流片之前確保功能的可靠性,對(duì)32位全定制高性能嵌入式CPU bc320進(jìn)行了原型驗(yàn)證。

設(shè)計(jì)采用Memec Design公司的FFll52開發(fā)板。該板使用了Xilinx的Virtex―n Pro系列芯片中的XC2VP30。該FPGA擁有30 816個(gè)邏輯單元,相當(dāng)于有30多萬的ASCI門。另有2Mb的片上Block RAM,644個(gè)I/O口。采用了Xilinx的全自動(dòng),完整的集成設(shè)計(jì)環(huán)境ISE 7.li進(jìn)行FPGA綜合使用的工具是Synplify Pro。

用bc320的ASIC RTL代碼作為FPGA的輸入,具體的代碼轉(zhuǎn)換如下。

存儲(chǔ)單元

設(shè)計(jì)中用到了很多SRAM,例如Ieache中的SRAM。在FPGA實(shí)現(xiàn)時(shí)根據(jù)所需RAM的寬度,深度和功能來決定采用哪種單元來進(jìn)行替換。Xilinx提供了片外RAM、Block RAM和LUTRAM。

ISE提供了兩種具體的實(shí)現(xiàn)方法:IP生成器(Core Generator)和語言模板(Language Templates)。IP生成器是Xilinx FPGA設(shè)計(jì)中的一個(gè)重要設(shè)計(jì)輸入工具,它提供了大量Xilinx和第三方公司設(shè)計(jì)的成熟高效IP核。

這里是用Core Generator來產(chǎn)生了名為塊存儲(chǔ)器(BlockMemory)的單口存 儲(chǔ)器模塊。Core Generator用圖形化設(shè)置參數(shù)的方式來提供塊存儲(chǔ)器,其界面如圖5所示。塊存儲(chǔ)器的大小根據(jù)向量的大小來制定,一個(gè)普通單元向量只需要4個(gè)512 x 32bit的塊存儲(chǔ)器就夠了。Core Generator產(chǎn)生塊存儲(chǔ)器時(shí),除了參數(shù)設(shè)置外,還需要輸入一個(gè)為.coo的文件來初始化塊存儲(chǔ)器的內(nèi)容。CoreGenerator產(chǎn)生的文件同時(shí)考慮了后端執(zhí)行和仿真兩方面,主要有三個(gè)文件:file.v,file.mif,file.edn。其中.v文件為Verilog格式的SRAM仿真模型:.mil文件為作為其初始化內(nèi)容,其內(nèi)容和.coe文件里的具體向量?jī)?nèi)容是一致的,而實(shí)際后端文件為.edn,包含了塊存儲(chǔ)器的全部信息。

Language Templates主要利用Xilinx的塊存儲(chǔ)器元件庫,直接進(jìn)行調(diào)用。xcavP30內(nèi)部的單口塊存儲(chǔ)器型號(hào)主要有:16k x lbit、比x 16bit,2kx 8bit、4kx4bit、512x32bit、8kx2bit??梢愿鶕?jù)自己的需要隨意調(diào)用這些模塊,在RTL代碼中實(shí)例化,并把SRAM初始值作為參數(shù)傳遞進(jìn)去。這些模塊在Synplify Pro中根據(jù)選定的FPGA型號(hào)被自動(dòng)識(shí)別,然后綜合成統(tǒng)一的.edn文件,再進(jìn)行后續(xù)操作。語言模板方式的靈活性比較強(qiáng),可以設(shè)置多個(gè)不同位寬,不同深度的塊存儲(chǔ)器,仿真也比較方便,但是參數(shù)設(shè)定時(shí),SRAM初始值的設(shè)置比較麻煩。

另外,Xilinx提供工具Dataamem,它可以每次只改變FPGA中塊存儲(chǔ)器的內(nèi)容,而不需將整個(gè)設(shè)計(jì)重新翻譯,映射和布局布線,為大量的向量驗(yàn)證節(jié)約了時(shí)間。

時(shí)鐘單元

在bc320設(shè)計(jì)中,CPU內(nèi)核通過SYSAD接口與外部SRAM連接。這些外部SRAM的時(shí)鐘和主時(shí)鐘是不一致的,在ASIC中,用一個(gè)PLL來實(shí)現(xiàn),這個(gè)PLL模塊是無法用Synplify Pro綜合的,在FPGA上必須將它用Xilinx的數(shù)字時(shí)鐘管理模塊(DCM)來替換。同樣可以利用Core Generator和LanguageTemplates這兩種方法。

利用Core Generator產(chǎn)生mydcm.v文件來代替原先的PLLGL500.v,代碼如下。第二段代碼是修改后的代碼。

module PLLOS―500(PLL―K,

PLL―M,

PLL―N,

PLL――PD,

PLL――TST,

RESET,

X1N,

CLK――OUT,

TST―OUT),

module mydcm(CLKIN―1N,

RST_IN,

CLKFX_OUT,

CLKIN--IBUFG--OUT,

LOCKED_OUT):

時(shí)鐘使能帶代替門控時(shí)鐘

把實(shí)現(xiàn)門控時(shí)鐘信號(hào)轉(zhuǎn)換成實(shí)現(xiàn)使能信號(hào),例如信號(hào)PCEPL,代碼如下。第二段代碼是修改后的代碼。

module(out,in,CLK,PCEPL),

……

always@(CLK or PCEPL)

assign PCEPLV =PCEPL&clk;

always@(posedge PCEPLV)

begin

out

end

endmodule

module(out,in,CLK,PCEPL),

always@(posedge CLK)

begin

if(PCEPL)

out

else

out

end

endmodule

外部器件配置單元和頂層封裝

在FFll52開發(fā)板上集成了很多接口和器件,在原型驗(yàn)證時(shí),可以充分利用這些接口和器件做為和設(shè)計(jì)的交互環(huán)境。這些接口和器件需要正確配置后才能正常工作,這部分配置工作可以用FPGA實(shí)現(xiàn)。

設(shè)計(jì)增加了LCD接口單元、內(nèi)部記分牌(scoreboard)模塊和通用異步串行接收發(fā)送(UART)模塊。作為保存向量?jī)?nèi)容的SRAM設(shè)定好后,可以用同樣的方法將寄存器參考值也保存在另外的塊存儲(chǔ)器中。然后運(yùn)行CPU,將CPU實(shí)際產(chǎn)生的寄存器值和已保存過的參考值在記分牌模塊中進(jìn)行實(shí)時(shí)比較,然后將結(jié)果輸出到LCD顯示屏上。

為了便于代碼的管理和維護(hù),可以對(duì)原RTL代碼進(jìn)行一定的封裝。將原ASIC流程的代碼單獨(dú)封裝在一個(gè)模塊中,再和FPGA實(shí)現(xiàn)時(shí)添加的片外配置控制單元的代碼一起形成新的FPGA實(shí)現(xiàn)頂層。

第7篇:集成電路后端設(shè)計(jì)流程范文

關(guān)鍵詞:SPI接口;單片機(jī);SOC

ASIC design and implementation of SPI interface of MCU

SU Wei-lu,SHI Long-zhao

(Institute of Physics and Information Engineering, Fuzhou University, Fuzhou,Fujian,350108,China)

Abstract: This paper firstly introduces the basic principle of SPI interface of MCU , then presents the design difficulties, design idea and the process and results of verification of it in detail. Hardware description language verilog was used to describe SPI interface of MCU using the synthesizable syntax part and modelsim was used as the function simulation tool to ensure SPI interface designed meet the requirement. And it communicates with PIC microcontrollers, STC microcontrollers and flash memory chips all of which have SPI interface by downloading the whole MCU system with SPI interface into Xilinx’s FPGA device xc2vp30-ff89 of VIRTEX-II PRO series and using peripheral circuits. Hardware verification shown that the SPI interface of MCU IP core we design is in accordance with SPI protocol, although maybe it is different from others SPI devices in the concrete implementations of them. In addition, the paper presents the ASIC design flow and results of soc system based on MCU using method of standard cells and the synthesis results of SPI module using Design compiler.

Key words: Serial Peripheral Interface; MCU;SOC

1 引言

串行外設(shè)接口 (Serial Peripheral Interface)總線是一種同步串行外設(shè)的接口總線,它可以使MCU 與各種設(shè)備以串行方式進(jìn)行通信。最初SPI 接口總線是由 Motorola在其MC68HCXX系列處理器上定義的,現(xiàn)在已廣泛應(yīng)用于EEPROM、FLASH、實(shí)時(shí)時(shí)鐘、A/D轉(zhuǎn)換器,還有數(shù)字信號(hào)處理器和數(shù)字信號(hào)解碼器之間。

SPI 是一種高速的、全雙工的同步通信總線,使用四根線通信,節(jié)約了芯片的管腳,同時(shí)節(jié)省了PCB 的布局空間。正是出于這種簡(jiǎn)單易用的特點(diǎn),現(xiàn)在越來越多的芯片集成了SPI通信協(xié)議。SPI 通信雙方為主、從關(guān)系,在這種工作模式下,通常有一個(gè)主設(shè)備和一個(gè)或多個(gè)從設(shè)備,設(shè)備之間可以用4線模式(雙向傳輸時(shí))或3 線模式(單向傳輸時(shí))連接。在4線模式下,4線定義為MOSI(主機(jī)輸出從機(jī)輸入),MISO(主機(jī)輸入從機(jī)輸出),SPICLK(傳輸時(shí)鐘),SS(片選信號(hào));在3線模式下,MISO 和MOSI可合并為一根或者SS引腳空置[1][2][3]。

2 SPI工作原理

對(duì)于特定功能芯片,一般SPI接口都只有主或從工作方式,而作為MCU的接口,則必須同時(shí)擁有主、從工作方式。SPI的工作方式由其內(nèi)部寄存器控制。

2.1寄存器的功能說明

SPI接口共有三個(gè)寄存器:控制寄存器、狀態(tài)寄存器和數(shù)據(jù)寄存器。

SPI控制寄存器的各位定義如表1所示[4][5][6]。CPOL和CPHA用來決定發(fā)送、接收數(shù)據(jù)的時(shí)鐘沿,與SSIG位、MSTER位組合,可以形成主機(jī)、從機(jī)各6種不同的工作模式。在SSIG=1時(shí),CPHA不為1。當(dāng)CPOL和CPHA的異或邏輯值為1時(shí),主從機(jī)在每個(gè)SPICLK的上升沿發(fā)送數(shù)據(jù)、下降沿接收數(shù)據(jù);反之,主從機(jī)在每個(gè)SPICLK的下降沿發(fā)送、上升沿被接收。在CPHA=O時(shí),由SS的下降沿啟動(dòng)數(shù)據(jù)的第一次發(fā)送。

以{SSIG、CPOL、CHHA}三位值等于000為例,說明SPI接口主從機(jī)的通信過程。主機(jī)在置SS為低電平的同時(shí),也啟動(dòng)了一幀數(shù)據(jù)的發(fā)送/接收過程,如圖1所示,主機(jī)在SS的下降沿發(fā)送第一位數(shù)據(jù)之后,在每個(gè)SPICLK下降沿發(fā)送余下的數(shù)據(jù)(見MOSI數(shù)據(jù)線),在上升沿接收數(shù)據(jù)(見MISO數(shù)據(jù)線);從機(jī)在SS引腳被拉低,MSTER位被清零之后,也啟動(dòng)了一幀數(shù)據(jù)的發(fā)送與接收過程,從MISO引腳發(fā)送第一位數(shù)據(jù),之后也是在每個(gè)SPICLK下降沿發(fā)送余下的數(shù)據(jù)(見MISO數(shù)據(jù)線),在上升沿接收數(shù)據(jù)(見MOSI數(shù)據(jù)線)。從中可以看出,主機(jī)處于主動(dòng)的位置,SPICLK與SS由主機(jī)自己產(chǎn)生,而從機(jī)處于被動(dòng)位置,在主機(jī)的SPICLK與SS信號(hào)的控制下發(fā)送與接收數(shù)據(jù)[7][8][9]。

SPI通信雙方工作模式必須相同,主機(jī)和從機(jī)的移位寄存器可以看成兩個(gè)循環(huán)移位寄存器。當(dāng)數(shù)據(jù)從主機(jī)的輸出移位寄存器移位發(fā)送到從機(jī)的輸入寄存器的同時(shí),主機(jī)的輸入寄存器也同時(shí)接收從機(jī)輸出寄存器發(fā)送過來的數(shù)據(jù)。這意味著在一個(gè)移位周期中,主機(jī)和從機(jī)的數(shù)據(jù)互相交換。引腳連接關(guān)系如圖2和圖3所示。

SPI的狀態(tài)寄存器只在高兩位定義了SPI傳輸完成標(biāo)志SPIF與SPI寫沖突標(biāo)志W(wǎng)COL。SPI每交換完一次數(shù)據(jù)置SPIF=1。在發(fā)送過程中對(duì)數(shù)據(jù)寄存器進(jìn)行寫操作時(shí),寫沖突標(biāo)志將置1,在這種情況下,當(dāng)前數(shù)據(jù)發(fā)送完后可繼續(xù)發(fā)送剛寫入的數(shù)據(jù)。如在WCOL=1時(shí)再寫入數(shù)據(jù),則新寫入的數(shù)據(jù)將覆蓋前一個(gè)數(shù)據(jù)。

2.2 SPI的工作模式

SPI接口有三種工作模式:?jiǎn)沃鲉螐哪J?、互為主從模式與單主多從模式。

單主單從模式下,SSIG可為1也可為0。在這種模式下, SSIG=0時(shí)主機(jī)用SS來選擇要交換數(shù)據(jù)的從機(jī)?;橹鲝哪J饺鐖D2所示,這種模式只能工作于SSIG=0的情況,沒有通信時(shí),兩個(gè)器件都配置為主機(jī),SS被配置為輸入模式。當(dāng)其中一個(gè)器件啟動(dòng)傳輸時(shí),SPI自動(dòng)將SS配置為輸出并驅(qū)動(dòng)輸出低電平,強(qiáng)制另一個(gè)SPI器件變?yōu)閺臋C(jī)。單主多從模式如圖3所示。在這種模式下,主機(jī)只能有一個(gè),而從機(jī)可以有一個(gè)或多個(gè),主機(jī)可以用任何引腳來驅(qū)動(dòng)從機(jī)的SS引腳,SS用于選擇從機(jī),通過控制從機(jī)SS引腳可從多個(gè)從機(jī)中選擇一個(gè)從機(jī)并與之通信。

3 基于單片機(jī)IP核的SPI設(shè)計(jì)

3.1 SPI的內(nèi)部結(jié)構(gòu)設(shè)計(jì)

本設(shè)計(jì)作為MCU接口之一,其結(jié)構(gòu)如圖4所示。SPI接口對(duì)外與管腳P1.4、 P1.5、 P1.6、 P1.7復(fù)用,對(duì)內(nèi)使用內(nèi)部寄存器總線,通過讀寫寄存器的方式實(shí)現(xiàn)數(shù)據(jù)交換。SPI模塊按功能可分為時(shí)鐘模塊、傳輸模塊以及SPI與單片機(jī)IP核的接口模塊。

時(shí)鐘模塊產(chǎn)生作為主機(jī)時(shí)在不同模式下的SPICLK、SS信號(hào)。根據(jù)SPR1、SPR0、CPOL和CPHA來產(chǎn)生不同頻率和相位的SPICLK。

傳輸模塊由傳輸控制部分和移位部分組成。傳輸模塊主要完成以下功能:①對(duì)異步信號(hào)做同步處理。主、從機(jī)的SPI數(shù)據(jù)交換,它們的工作時(shí)鐘不一樣,屬于兩個(gè)不同的時(shí)鐘域。所以,從機(jī)的SPI端口需要對(duì)主機(jī)發(fā)來的SPICLK和SS信號(hào)進(jìn)行同步。②通過采用獨(dú)特(One-hot)編碼的狀態(tài)機(jī)來控制發(fā)送、接收過程。

SPI與51單片機(jī)IP核的接口模塊主要完成對(duì)數(shù)據(jù)寄存器、控制寄存器和狀態(tài)寄存器的讀寫功能,其操作通過內(nèi)部寄存器的數(shù)據(jù)總線、地址總線、讀寫總線來實(shí)現(xiàn)。

3.2 SPI的傳輸模塊

主機(jī)和從機(jī)的SPI工作在不同的時(shí)鐘源,如不進(jìn)行同步處理,可能會(huì)引起亞穩(wěn)態(tài)問題[10]。所以,從機(jī)需要對(duì)SPICLK和SS信號(hào)進(jìn)行同步處理,而異步時(shí)鐘域數(shù)據(jù)的同步技術(shù)主要有單點(diǎn)取樣、同步結(jié)構(gòu)、跨時(shí)鐘協(xié)議和跨時(shí)鐘域收斂等。同步裝置也有多種形式,主要有2DFF同步、先入先出同步、DMUX 同步、特別數(shù)據(jù)同步、重值同步等[11]。本設(shè)計(jì)采用2DFF方式來同步SPICLK和SS信號(hào)。

傳輸模塊的狀態(tài)機(jī)如圖5所示。A、B、C表示狀態(tài)的觸發(fā)條件。將傳輸過程分成IDLE、SEND和END三個(gè)狀態(tài)。IDLE狀態(tài)表示空閑或就緒狀態(tài),條件A滿足時(shí)切換到SEND狀態(tài)。條件A包括:SPI作主機(jī)時(shí),寫數(shù)據(jù)寄存器的操作;作從機(jī)且CPHA=0時(shí),接收到SS下降沿信號(hào);作從機(jī)且CPHA=1時(shí),端口接收到時(shí)鐘有效沿信號(hào)(CPOL=0時(shí)為上升沿,CPOL=1時(shí)為下降沿)。SEND狀態(tài)表示數(shù)據(jù)的發(fā)送與接收過程,發(fā)送與接收數(shù)據(jù)是在SS與SPICLK的控制下完成的,如圖5所示。END狀態(tài)表示發(fā)送/接收一幀數(shù)據(jù)已完成,并將接收的數(shù)據(jù)寫入到接收數(shù)據(jù)寄存器中,同時(shí)置SPIF標(biāo)志位等。條件B為傳輸完一個(gè)字節(jié)數(shù)據(jù);條件C指SPI模塊的上電復(fù)位。

4 功能仿真、驗(yàn)證及ASIC實(shí)現(xiàn)

系統(tǒng)仿真工具用Mentor公司的Modelsim仿真軟件,主、從模式分開仿真,編寫的testbench 包含了時(shí)鐘的產(chǎn)生、控制寄存器的配置、發(fā)送/接收數(shù)據(jù)等。圖6給出了從機(jī)在CPOL=1、CPHA=0、SSIG=0時(shí),由SS信號(hào)啟動(dòng)從機(jī)一幀數(shù)據(jù)的發(fā)送與接收過程。從圖中可以看出SS信號(hào)的下降沿主機(jī)啟動(dòng)數(shù)據(jù)發(fā)送(數(shù)據(jù)值為0XAA),從機(jī)也啟動(dòng)了數(shù)據(jù)的發(fā)送過程(數(shù)據(jù)值為0XAA),之后主機(jī)/從機(jī)都在每一個(gè)SPICLK上升沿發(fā)送一位數(shù)據(jù),在SPICLK的每一個(gè)下降沿接收一位數(shù)據(jù),當(dāng)接收完一幀數(shù)據(jù)后,產(chǎn)生SPIF信號(hào)。各個(gè)過程符合SPI協(xié)議, 中斷的產(chǎn)生和處理也滿足設(shè)計(jì)的要求。

做完Modelsim仿真驗(yàn)證后,需進(jìn)一步做FPGA的硬件仿真驗(yàn)證。將SPI模塊嵌入到8051MCU的IP核中,并用ISE軟件綜合下載到Xilinx公司的VIRTEX-II PRO系列的xc2vp30-ff896 FPGA板上,利用擴(kuò)展接口電路與具有SPI接口的PIC單片機(jī)、STC單片機(jī)和flash存儲(chǔ)芯片等進(jìn)行各種模式下的通信測(cè)試與驗(yàn)證。結(jié)果表明設(shè)計(jì)的SPI模塊能與這些器件進(jìn)行正常通信,系統(tǒng)工作頻率為100MHz,SPI傳輸速率為25MHz。

表2為單獨(dú)的SPI模塊用ISE軟件并選用VIRTEX-II PRO系列的xc2vp30-ff896器件綜合所得到的資源使用情況。

設(shè)計(jì)應(yīng)用于數(shù)字抄表系統(tǒng)的基于8051MCU的SOC芯片不僅有本文所設(shè)計(jì)的SPI接口,內(nèi)部還集成1 kB RAM、32 kB Flash、DC-DC、8路10位ADC 轉(zhuǎn)換器等硬宏單元;除了具有MCU所有功能外,還具備看門狗、DES加密、SPI接口等功能,可工作掉電模式、空閑模式和正常工作模式。系統(tǒng)結(jié)構(gòu)圖如圖7所示。系統(tǒng)采用VeriSilicon公司的標(biāo)準(zhǔn)單元庫、1kB RAM,SMIC 公司的IO庫和DC-DC轉(zhuǎn)換器以及8路10位ADC,Chingis公司的32kB Flash和自行設(shè)計(jì)的看門狗等按照?qǐng)D8流程進(jìn)行ASIC設(shè)計(jì)。RTL驗(yàn)證包括軟件仿真和硬件驗(yàn)證。利用Modelsim 、LEDA 工具和IP CORE 仿真模型進(jìn)行代碼的仿真和驗(yàn)證。在進(jìn)行數(shù)字部分的FPGA硬件驗(yàn)證時(shí),用E2RPOM器件代替IP存儲(chǔ)核。根據(jù)設(shè)計(jì)的需求、實(shí)際中IP核的時(shí)序要求和對(duì)不確定的時(shí)序進(jìn)行估算來進(jìn)行時(shí)序約束,采用Design compiler、DFT compiler對(duì)Verilog語言描述的 RTL進(jìn)行可測(cè)性綜合得到可測(cè)試綜合網(wǎng)表。用Formality 進(jìn)行形式驗(yàn)證以及 PT(Prime Time)進(jìn)行時(shí)序約束分析來保證綜合出來的網(wǎng)表符合要求。

由于除了一些硬IP核在RTL級(jí)是用空模塊來表示和基于MCU的SOC芯片門數(shù)差不多為幾萬門左右,所以綜合時(shí)間相對(duì)不會(huì)很長(zhǎng),在用DC(Design Compiler)綜合時(shí)采用Top-down 流程來得到相對(duì)更優(yōu)化的結(jié)果。用DFT Compiler進(jìn)行可測(cè)試設(shè)計(jì)時(shí),采取共用Pad的方法來減少可測(cè)試設(shè)計(jì)引起管腳增加的數(shù)目。由于SOC芯片的端口都是雙向口和有多個(gè)模塊共用一些端口。被選用于可測(cè)試性設(shè)計(jì)的端口只能是單方向的。為了實(shí)現(xiàn)這個(gè)目的,我們可以借助于DFT Compiler工具命令或者直接修改RTL代碼的方式來配置雙向端口為單向端口。

為了較少不控制邏輯降低測(cè)試覆蓋率,通過set_dft_configuration 和 set_autofix_confi-guration的相關(guān)命令來修復(fù)與復(fù)位信號(hào)、時(shí)鐘相關(guān)的不可控制邏輯。對(duì)門控時(shí)鐘和復(fù)位信號(hào)采用MUX來旁路不可控制邏輯。用TetraMAX工具生成測(cè)試向量并通過Modelsim 進(jìn)行仿真確保測(cè)試向量的正確性。最終得到6條均衡的掃描鏈,每條掃描鏈為483門或者482門,Test coverage 為99.43%。在RTL代碼和DC綜合完的邏輯網(wǎng)表中IP硬盒都是空模塊來表示。用Formality對(duì)可測(cè)試網(wǎng)表和RTL進(jìn)行形式驗(yàn)證時(shí),在執(zhí)行設(shè)置時(shí)用以下命令:set_case_analysis 0 [get_ports test_mode];set_case_analysis 0 [get_ports scan_en]使綜合網(wǎng)表工作在正常模式。形式驗(yàn)證結(jié)果如圖9所示。

DC綜合時(shí)是用非線性模型來計(jì)算單元的延遲,用線負(fù)載模型來計(jì)算連線的延遲。用線負(fù)載模型計(jì)算連線的長(zhǎng)度和延遲,并不考慮連線以及其相連接的單元在版圖中的位置;綜合時(shí)是將時(shí)鐘網(wǎng)絡(luò)和復(fù)位網(wǎng)絡(luò)設(shè)為理想情況;后端也可以通過插入延遲單元來解決保持時(shí)間的問題[12]。因此,前端綜合后沒有必要進(jìn)行保持時(shí)間的時(shí)序分析。故綜合時(shí)采用Worst timing model得到綜合結(jié)果進(jìn)行用PT進(jìn)行正常模式和測(cè)試模式下的建立時(shí)間分析,得到的最小建立時(shí)間為0.26ns。得到滿足時(shí)序約束和可測(cè)試覆率要求的可測(cè)試綜合網(wǎng)表后,開始后端物理設(shè)計(jì),具體參考文獻(xiàn)[13]。采用Cadence的AMS軟件進(jìn)行數(shù)?;旌虾蠓抡?。通過了后仿真的MCU SOC系統(tǒng)可達(dá)到如下參數(shù):工作頻率40MHz,芯片面積 5.0141mm2,功耗43.12mW,最大電壓降65.262mV,最大地電壓反彈值59.735 mV,電遷移和串?dāng)_均低于規(guī)定的閾值 [13]。

利用Synopsys的Design Compiler單獨(dú)對(duì)SPI接口模塊進(jìn)行合理約束并綜合。最終綜合時(shí)序優(yōu)化后的面積為8162.98um2。結(jié)果表明單獨(dú)SPI接口模塊工作頻率可達(dá)到100MHz。綜合完后report_timi-ng -max_paths 10命令查看關(guān)鍵路徑,關(guān)鍵路徑都為純組合路徑。所以,SPI接口模塊的工作頻率一定程度上取決于這些關(guān)鍵路徑。這說明所設(shè)計(jì)的SPI接口可以用于更高時(shí)鐘頻率的SOC芯片系統(tǒng)中。

5 結(jié)論

本文闡述了SPI主機(jī)、從機(jī)在各種工作模式下的工作特點(diǎn),并分析了設(shè)計(jì)的難點(diǎn)。系統(tǒng)用Verilog HDL實(shí)現(xiàn)SPI邏輯,通過了軟件仿真與FPGA硬件驗(yàn)證,并成功應(yīng)用于8051為MCU的SOC芯片中。說明所設(shè)計(jì)的SPI模塊可以當(dāng)作MCU的一個(gè)軟IP核并修改SPI與MCU、ARM等CPU的接口部分應(yīng)用于各類SOC系統(tǒng)中,有較廣泛的應(yīng)用領(lǐng)域。

參考文獻(xiàn)

[1]en.省略/wiki/Serial_Peripheral_Inte rface_Bus

[2] 毛建權(quán),季曉勇.基于SPI的DSP與MCU雙向通信的設(shè)計(jì)與實(shí)現(xiàn)[J].科學(xué)技術(shù)與工程,2007,7(15):3911-3912.

[3]易志明,林凌,郝麗宏,李樹靖.SPI串行總線接口及其實(shí)現(xiàn)[J].自動(dòng)化與儀器儀表,2002, 6:45-46.

[4] 省略/

[5] 曹儐,王詳,程野,張瑞峰,杜楊.SPI控制器的ASIC設(shè)計(jì)及實(shí)現(xiàn)[J].數(shù)字通信,2010,1 (2):94-97.

[6] 楊承富,徐志軍.SPI總線接口的FPGA設(shè)計(jì)與實(shí)現(xiàn)[J].軍事通信技術(shù),2004,25(2):72-76.

[7] 李宇,楊家瑋.用SPI總線實(shí)現(xiàn)DSP和MCU之間的高速通信[J].電子元器件應(yīng)用,2006,08(11):28-29.

[8] 易志明,林凌,郝麗宏,李樹靖.SPI串行總線接口及其實(shí)現(xiàn)[J].自動(dòng)化與儀器儀表,2002,6:45-46.

[9] 廖彬彬,張福洪,尚俊娜. SPI總線接口的SOPC模塊設(shè)計(jì)與實(shí)現(xiàn)[J].現(xiàn)代電子技術(shù), 2008,2:13-14.

[10] 郭煒,郭箏,謝憬.SOC設(shè)計(jì)方法與實(shí)現(xiàn)[M].北京:電子工業(yè)出版社,2007.

[11] Mentor Graphics. 自動(dòng)跨時(shí)鐘域驗(yàn)證方法的需求[J].明導(dǎo)通訊,2006,1:21-30.

[12]虞希清.專用集成電路設(shè)計(jì)實(shí)用教程[M].杭州:浙江大學(xué)出版社,2007.

[13] 王仁平,何明華,魏榕山.基于MCU的SoC芯片版圖設(shè)計(jì)與驗(yàn)證[J]. 福州大學(xué)學(xué)報(bào),2011,4:540-544

作者簡(jiǎn)介

第8篇:集成電路后端設(shè)計(jì)流程范文

關(guān)鍵詞: 智能卡; Java Card技術(shù); 電子錢包; 電子存折; 安全性

中圖分類號(hào): TN919?34; TP393 文獻(xiàn)標(biāo)識(shí)碼: A 文章編號(hào): 1004?373X(2017)11?0142?05

Design and implementation of electronic purse and electronic deposit based on smart card

ZHANG Wenyou1, TIAN Yun2

(1. Center of Modern Science and Technology, Chengde Radio and Television University, Chengde 067000, China;

2. Department of Computer, Xinzhou Teachers University, Xinzhou 034000, China)

Abstract: Aiming at the practical application of electronic purse and electronic deposit, the optimization scheme was implemented on the basis of smart card for the card file structure, transaction process, transaction command, E2PROM location of writing card, times and other optimization points by means Java Card technology, which can enhance the transaction speed of electronic purse and electronic deposit. The open file industry application was added and the composite consumption was designed to realize the application of electronic purse and electronic deposit based on intelligent card combining finance and various industries. The application program of electronic purse and electronic deposit was designed, developed and tested in the card terminal of the Java Card application architecture. The test results show that the performance, function and detection items of the electronic purse and electronic deposit before applying to market conform to the detection requirement of National Bank Card Test Center, and Ministry of Housing and Urban?Rural Development.

Keywords: smart card; Java Card technology; electronic purse; electronic deposit; security

0 引 言

磁條卡的發(fā)行和普及為使用者帶來了諸多便利[1],然而近年來的“盜卡”和卡片“克隆”事件卻引發(fā)了人們的強(qiáng)烈質(zhì)疑。針對(duì)這一問題,三大國際卡組織制定了新的技術(shù)標(biāo)準(zhǔn)即智能卡,以解決銀行卡從磁條卡向智能卡遷移的問題。智能卡采用新型智能卡技術(shù),以Java卡為代表的多應(yīng)用智能卡平臺(tái)不僅繼承了智能卡的諸多優(yōu)勢(shì),更結(jié)合了Java語言本身跨平臺(tái)、可移植等眾多特點(diǎn),較磁條卡而言,在安全性、可靠性、靈活性、高效性、耐用性等諸多方面,智能卡具有明顯的優(yōu)勢(shì)[2]。電子錢包及電子存折(Electronic Purse And Electronic Deposit,EDEP)作為一種重要的智能卡應(yīng)用,它的成功實(shí)行使智能卡被寄予厚望。因此,對(duì)電子錢包及電子存折的研究在方便人們?nèi)粘I?、減少社會(huì)上的現(xiàn)金流通、提升各大銀行的整體收益、加快國內(nèi)外EMV遷移速度等方面都有著現(xiàn)實(shí)意義。

1 系統(tǒng)需求分析

根據(jù)Java Card應(yīng)用程序體系結(jié)構(gòu),本系統(tǒng)從物理結(jié)構(gòu)上可分為三層:系統(tǒng)后端、讀取端和卡片端,系統(tǒng)總體結(jié)構(gòu)如圖1所示。系統(tǒng)后端主要是銀行后臺(tái)應(yīng)用系統(tǒng);讀取端由讀取端主用程序及卡片接收設(shè)備組成;卡片端包括卡片管理的應(yīng)用程序(電子錢包及電子存折應(yīng)用或其他行業(yè)應(yīng)用程序)和支持應(yīng)用程序的運(yùn)行環(huán)境[3](COS,Java Card虛擬機(jī)以及相應(yīng)的Java Card Framework和API)。其中COS是卡片操作系統(tǒng)的簡(jiǎn)稱;API是應(yīng)用程序編程接口的簡(jiǎn)稱。

對(duì)于本系統(tǒng)而言,卡片端COS的主要功能包括控制卡片與外界的信息交換、管理卡內(nèi)存儲(chǔ)器及在卡片內(nèi)部完成各種命令的處理[4]。通常,COS要實(shí)現(xiàn)與外部信息交換,需要通信管理模塊、命令管理模塊、安全管理模塊及文件管理模塊的協(xié)作處理。外部信息通過通信管理模塊進(jìn)入COS之后,首先由命令解析模塊進(jìn)行處理,對(duì)輸入信息內(nèi)容的可執(zhí)行性進(jìn)行判斷;其次,如果需要進(jìn)行安全檢查,則交給安全管理模塊對(duì)它進(jìn)行信息合法性的檢查;最后,COS根據(jù)檢查結(jié)果,憑借其合法且有效的信息,通知文件管理模塊對(duì)E2PROM執(zhí)行相應(yīng)的操作。

電子錢包及電子存折卡片在投入市場(chǎng)使用之前,需要根據(jù)實(shí)際需求建立相關(guān)文件,并在不同文件下寫入對(duì)應(yīng)數(shù)據(jù)[5]。只有完成了個(gè)人化,卡片才能進(jìn)行正常交易。卡片中文件的建立和數(shù)據(jù)的寫入主要依賴于個(gè)人化命令及文件結(jié)構(gòu)的設(shè)計(jì)與實(shí)現(xiàn)[6]。本系統(tǒng)卡片端電子錢包及電子存折應(yīng)用個(gè)人化流程,如圖2所示。

本系統(tǒng)研究的電子錢包及電子存折應(yīng)用的核心是在個(gè)人化模塊及應(yīng)用維護(hù)模塊的輔助下實(shí)現(xiàn)交易模塊的各項(xiàng)交易功能。因?yàn)閷?duì)電子錢包和電子存折而言,相同交易類型的交易流程相同,因此整合電子錢包及子存折的所有交易類型發(fā)現(xiàn)本系統(tǒng)主要的交易流程可包括:交易預(yù)處理流程、圈存交易流程、圈提交易流程、取現(xiàn)交易流程、消費(fèi)交易流程、修改透支限額交易流程、查詢余額交易流程、查詢交易明細(xì)流程以及復(fù)合消費(fèi)交易流程。

應(yīng)用維護(hù)模塊的主要功能是協(xié)助持卡人對(duì)卡片的正常使用進(jìn)行管理與維護(hù)[7]。包括文件數(shù)據(jù)維護(hù)、PIN(個(gè)人識(shí)別碼)維護(hù)、卡片應(yīng)用狀態(tài)維護(hù)、內(nèi)外認(rèn)證維護(hù)四大功能塊。

(1) 持卡人在卡片的使用過程中可通過個(gè)人化合法終端向卡片發(fā)送記錄讀、寫、更新命令,卡片應(yīng)用程序?qū)ζ涮幚?,?shí)現(xiàn)記錄數(shù)據(jù)的讀取、寫入和更新。

(2) 持卡人也可根據(jù)自身需求,修改或重新設(shè)置個(gè)人碼。終端通過向卡片發(fā)送PIN修改或重裝命令,卡片應(yīng)用程序?qū)ζ涮幚?,?shí)現(xiàn)PIN修改或重裝。

(3) 持卡人在使用卡片的過程中,如果卡片存在多次與終端進(jìn)行相互認(rèn)證時(shí)發(fā)生錯(cuò)誤,卡片上應(yīng)用程序也會(huì)自動(dòng)鎖定當(dāng)前應(yīng)用,實(shí)現(xiàn)卡片的自鎖定,對(duì)持卡人信息進(jìn)行保護(hù)。

(4) 內(nèi)部認(rèn)證和外部認(rèn)證都是出于卡片應(yīng)用安全性考量的輔助功能。本系統(tǒng)通過主控密鑰的外部認(rèn)證來設(shè)置應(yīng)用的后續(xù)狀態(tài),從而判定持卡人是否具有某種操作的權(quán)限。

2 系統(tǒng)設(shè)計(jì)

2.1 文件結(jié)構(gòu)設(shè)計(jì)

根據(jù)卡片文件邏輯組織結(jié)構(gòu)分析,卡片端電子錢包及電子存折應(yīng)用可設(shè)計(jì)為ADFEF=1模式,其中,所對(duì)應(yīng)的ADF文件(EDEP應(yīng)用文件)應(yīng)包含文件控制信息(FCI),通過該專用ADF文件可以對(duì)樹形結(jié)構(gòu)下的EF文件進(jìn)行訪問。本系統(tǒng)電子錢包及電子存折應(yīng)用卡片內(nèi)部文件組織結(jié)構(gòu)設(shè)計(jì)如圖3所示。

根據(jù)卡片文件結(jié)構(gòu)設(shè)計(jì),本系統(tǒng)應(yīng)用文件的初步實(shí)現(xiàn)方案擬為:設(shè)計(jì)EF文件為一個(gè)類,類中包含文件頭及文件體。每個(gè)類對(duì)象通過鏈表連接,查找時(shí)從鏈表頭開始找。對(duì)于文件的操作全部放在類中實(shí)現(xiàn),如讀寫記錄和二進(jìn)制等。

本系統(tǒng)電子錢包及電子存折應(yīng)用為ADFEF=1模式,本系統(tǒng)電子錢包及電子存折應(yīng)用卡片內(nèi)部文件結(jié)構(gòu)設(shè)計(jì)包括二進(jìn)制公共應(yīng)用基本數(shù)據(jù)文件(短文件標(biāo)識(shí)符SFI:0015)、二進(jìn)制持卡人基本數(shù)據(jù)文件(短文件標(biāo)識(shí)符SFI:0016)、循環(huán)記錄交易明細(xì)文件(短文件標(biāo)識(shí)符SFI:0018)、變長(zhǎng)記錄復(fù)合應(yīng)用擴(kuò)展文件(短文件標(biāo)識(shí)符SFI:0017)、循環(huán)記錄復(fù)合應(yīng)用交易明細(xì)文件(短文件標(biāo)識(shí)符SFI:0010)以及定長(zhǎng)記錄密鑰文件(短文件標(biāo)識(shí)符 SFI:0000)。短文件標(biāo)識(shí)符是用來象征文件的2 B的符號(hào)標(biāo)識(shí),可通過此標(biāo)識(shí)對(duì)文件進(jìn)行操作和訪問。

2.2 應(yīng)用命令設(shè)計(jì)

根據(jù)本系統(tǒng)總體結(jié)構(gòu)可知,讀取端卡片接收設(shè)備與卡片的通信是基于特定協(xié)議,通過應(yīng)用協(xié)議數(shù)據(jù)單元(APDU)的傳輸和交換來實(shí)現(xiàn)。智能卡接收來自卡片接收設(shè)備中的APDU命令,并將其傳送給相應(yīng)的Java Card Applet。Applet接收傳入APDU命令,內(nèi)部完成分析處理,然后返回一個(gè)響應(yīng)APDU命令。

通過分析設(shè)計(jì),本系統(tǒng)卡片端電子錢包及電子存折應(yīng)用的命令主要包括三部分:個(gè)人化命令、基本命令及交易命令。因?yàn)榭ㄆ妥x寫器之間的通信是通過應(yīng)用數(shù)據(jù)單元(APDU)進(jìn)行傳輸,所以各項(xiàng)命令的設(shè)計(jì)必須符合應(yīng)用數(shù)據(jù)單元的固有格式。其中,系統(tǒng)的個(gè)人化命令主要包括下列7條專用命令:CREATE FILE命令、WRITE KEY命令、INITIALIZE UPDATE命令、PERSONAL AUTHENTICA TE命令、Install[for Install]命令、APPEND RECORD命令以及DELETE命令。

根據(jù)PBOC 2.0規(guī)范第1部分及互聯(lián)互通規(guī)范中基本命令描述,本系統(tǒng)電子錢包及電子存折應(yīng)用基本命令設(shè)計(jì),如表1所示。

另外,根據(jù)PBOC 2.0規(guī)范及互聯(lián)互通規(guī)范交易命令的描述,系統(tǒng)還設(shè)計(jì)了電子錢包及電子存折的應(yīng)用交易命令。

2.3 應(yīng)用類設(shè)計(jì)

本系統(tǒng)出于電子錢包及電子存折應(yīng)用的擴(kuò)展性、安全性和高效性的角度對(duì)核心類進(jìn)行拆分,設(shè)計(jì)了三個(gè)主要的用戶自定義類:EDEP類、ClassFileEF類和Constants類。

ClassFileEF類中定義了與文件相關(guān)的所有屬性,有利于提高系統(tǒng)應(yīng)用交易和文件查找的性能。Constants類主要用于預(yù)定義整個(gè)應(yīng)用開發(fā)中需要自定義完成的常量,負(fù)責(zé)對(duì)應(yīng)用中的常量數(shù)據(jù)進(jìn)行統(tǒng)一管理,EDEP類繼承Javacard.framework.Applet抽象類,系統(tǒng)的個(gè)人化模塊、交易模塊以及應(yīng)用維護(hù)模塊的實(shí)現(xiàn)都依賴于EDEP類的設(shè)計(jì)和實(shí)現(xiàn)。

系統(tǒng)類與類之間主要存在依賴和泛化關(guān)系。對(duì)于APDU,ISO7816,Applet,ISOException,JCSystem,Util,DESKey,KeyBuilder,RandomData,Signature,Cipher等來自Java Card API類庫的系統(tǒng)類,可被EDEP,ClassFileEF,Constants等用戶自定義類import對(duì)應(yīng)包直接引用。

3 系統(tǒng)實(shí)現(xiàn)

通過前期對(duì)電子錢包及電子存折應(yīng)用的功能需求分析、交易流程分析以及對(duì)卡片文件結(jié)構(gòu)、應(yīng)用命令、相關(guān)類的設(shè)計(jì),在選擇了開發(fā)過程中會(huì)使用的相關(guān)技術(shù)后,開始啟動(dòng)程序的開發(fā)。

ClassFileEF類成員變量和成員方法主要用于EF文件的建立、EF鏈表中的文件查找和文件內(nèi)容的讀寫,對(duì)于要求讀寫權(quán)限的文件而言,首先需要通過方法getKey()獲取相關(guān)密鑰,通過外部認(rèn)證取得文件操作權(quán)限。再通過方法API_FindEFByFID()或API_FindEFbySFI()實(shí)現(xiàn)文件查找。當(dāng)用戶需要讀取相關(guān)文件下對(duì)應(yīng)記錄時(shí),通過文件查找方法選擇對(duì)應(yīng)文件,確保當(dāng)前文件層次后,可通過方法AppendRecord(),readRecord()和getRecord()對(duì)相關(guān)記錄進(jìn)行操作。當(dāng)然,以上操作的前提必須是在該類構(gòu)造器方法ClassFileEF()中完成相應(yīng)變量的初始化后進(jìn)行。

EDEP類是整個(gè)應(yīng)用開發(fā)的核心。在具體的實(shí)現(xiàn)過程中重寫了父類Applet中的install()方法和process()方法。當(dāng)JCRE接收到安裝命令之后,調(diào)用EDEP的install()方法,通過一個(gè)新的EDEP對(duì)象完成對(duì)象的初始化,并調(diào)用register()方法完成注裕告訴JCRE實(shí)例已成功安裝,并可以對(duì)這個(gè)應(yīng)用進(jìn)行選擇或執(zhí)行其他命令,此時(shí)卡片的生命周期進(jìn)入被選擇狀態(tài),當(dāng)JCRE接收到選擇命令之后,調(diào)用EDEP的process()方法,通過此方法完成業(yè)務(wù)以及命令分支處理。在命令分支處理之前,程序首先得判斷一下卡片和應(yīng)用的鎖定情況,如果出現(xiàn)異常,則根據(jù)具體接收命令的INS返回不同的狀態(tài)碼。在卡片和鎖定檢查中沒有拋出異常后,程序繼續(xù)執(zhí)行命令分支處理。本系統(tǒng)通過switch?case語句完成命令的分支和相應(yīng)功能的選擇調(diào)用。根據(jù)命令設(shè)計(jì)部分,程序用22個(gè)分支完成了process()方法的實(shí)現(xiàn)。并且為了提高代碼的重用性,不同case語句調(diào)用的命令處理方法僅處理其私有業(yè)務(wù),對(duì)于公共業(yè)務(wù)的處理則通過再調(diào)用通用功能方法的方式實(shí)現(xiàn)。

個(gè)人化模塊實(shí)現(xiàn)主要依賴于ClassFileEF類和EDEP類。交易模塊的實(shí)現(xiàn)緊緊依賴于 EDEP類的實(shí)現(xiàn), 交易功能的實(shí)現(xiàn)主要是通過EDEP 類中與交易相關(guān)的成員方法之間的互相調(diào)用完成。應(yīng)用維護(hù)模塊主要負(fù)責(zé)電子錢包和電子存折中不涉及資金劃轉(zhuǎn)的其他維護(hù)類功能。文件數(shù)據(jù)維護(hù)、PIN(個(gè)人識(shí)別碼)維護(hù)、卡片應(yīng)用狀態(tài)維護(hù)及內(nèi)外認(rèn)證維護(hù)功能的實(shí)現(xiàn)是保障卡片進(jìn)行正常交易的前提。其中,應(yīng)用維護(hù)模塊的實(shí)現(xiàn)也主要依賴于EDEP類的實(shí)現(xiàn),其中各項(xiàng)功能的實(shí)現(xiàn)同樣是通過EDEP類中相關(guān)成員方法的互相調(diào)用來完成。

4 系統(tǒng)測(cè)試

本系統(tǒng)借助專用測(cè)試工具TestCard編寫相關(guān)測(cè)試腳本對(duì)系統(tǒng)應(yīng)用進(jìn)行測(cè)試。首先,對(duì)于本系統(tǒng)電子錢包及電子存折應(yīng)用而言,需要將編譯后工程目錄bin文件夾中的class文件轉(zhuǎn)換成CommonCap.cap和EDEP.cap兩個(gè)cap文件。通過上述cap包的轉(zhuǎn)換工作,生成一個(gè)可以下載并同時(shí)安裝進(jìn)智能卡的特定cap文件。在安裝和下載之前,必須通過平臺(tái)的GP或者VGP認(rèn)證,保障安裝的cap文件的合法性[8]。成功執(zhí)行上述步驟后,開始進(jìn)行各項(xiàng)測(cè)試。

為了保證系統(tǒng)應(yīng)用的質(zhì)量,本系統(tǒng)在系統(tǒng)測(cè)試環(huán)節(jié)嚴(yán)格執(zhí)行測(cè)試方案的撰寫。按照電子錢包及電子存折應(yīng)用的技術(shù)規(guī)范要求,本系統(tǒng)應(yīng)用測(cè)試內(nèi)容如表2所示。

系統(tǒng)嚴(yán)格按照測(cè)試方案對(duì)電子錢包及電子存折應(yīng)用進(jìn)行全面的系統(tǒng)測(cè)試。應(yīng)用最具參考價(jià)值的復(fù)合消費(fèi)交易進(jìn)行分析,通過圖表方式對(duì)其功能及性能的測(cè)試結(jié)果進(jìn)行簡(jiǎn)要說明。

(1) 復(fù)合消費(fèi)功能測(cè)試

對(duì)Java Card應(yīng)用功能測(cè)試而言,首先需要完成卡片的個(gè)人化,即發(fā)卡。個(gè)人化操作主要是通過私有個(gè)人化命令建立應(yīng)用的文件結(jié)構(gòu),并通過數(shù)據(jù)寫入命令完成對(duì)應(yīng)文件下相關(guān)數(shù)據(jù)的寫入。本系統(tǒng)的個(gè)人化腳本通過文件正常測(cè)試后建立,個(gè)人化腳本編寫完成后改動(dòng)通常不會(huì)很大,其他功能測(cè)試腳本可通過腳本語言直接對(duì)其調(diào)用。按照復(fù)合消費(fèi)流程編寫正常及異常復(fù)合消費(fèi)測(cè)試腳本后,利用測(cè)試工具進(jìn)行功能測(cè)試。本文選擇一異地復(fù)合消費(fèi)交易測(cè)試腳本進(jìn)行測(cè)試,復(fù)合消費(fèi)測(cè)試結(jié)果如圖4所示。對(duì)于本系統(tǒng)測(cè)試工具,“√”代表測(cè)試通過。

(2) 復(fù)合消費(fèi)性能測(cè)試

通過對(duì)復(fù)合消費(fèi)各命令執(zhí)行時(shí)間的統(tǒng)計(jì)可知,本系統(tǒng)電子錢包及電子存折應(yīng)用復(fù)合消費(fèi)性能數(shù)據(jù)約為230 ms,各命令執(zhí)行時(shí)間如表3所示。

相對(duì)于檢測(cè)機(jī)構(gòu)300 ms的檢測(cè)指標(biāo)而言,本系統(tǒng)性能數(shù)據(jù)遠(yuǎn)遠(yuǎn)超出,這也證實(shí)了本系統(tǒng)應(yīng)用在文件結(jié)構(gòu)、命令、交易流程等多項(xiàng)可優(yōu)化點(diǎn)的設(shè)計(jì)及實(shí)現(xiàn)方案是成功的。

5 結(jié) 論

本文基于智能卡,利用Java Card技術(shù),側(cè)重于應(yīng)用擴(kuò)展性、安全性和高效性的角度在Java Card應(yīng)用程序體系結(jié)構(gòu)卡片端完成電子錢包及電子存折應(yīng)用程序的設(shè)計(jì)、開發(fā)及測(cè)試。通過行業(yè)應(yīng)用開通文件的添加及復(fù)合消費(fèi)的設(shè)計(jì),實(shí)現(xiàn)了金融與多行業(yè)應(yīng)用結(jié)合的智能卡電子錢包及電子存折應(yīng)用。在安全性方面,該應(yīng)用通過國際DES和國密SM雙重加密算法來保障電子錢包及電子存折卡片的安全性。另外,通過對(duì)卡片文件結(jié)構(gòu)、交易流程、交易命令、寫卡片E2PROM位置等進(jìn)行優(yōu)化,實(shí)現(xiàn)了設(shè)計(jì)要求,提升了電子錢包及電子存折卡片的交易速度。

參考文獻(xiàn)

[1] 中國人民銀行.JR/T 0025.1?2010 中國金融集成電路(IC)卡規(guī)范第1部分:電子錢包/電子存折應(yīng)用卡片規(guī)范[S].北京:中國人民銀行,2010.

[2] 王飛宇,李翔宇,烏力吉,等.接觸式智能卡的嵌入式系統(tǒng)攻擊平臺(tái)設(shè)計(jì)[J].計(jì)算機(jī)測(cè)量與控制,2012,20(9):2513?2515.

[3] 夏文棟,林凱.融合NFC的3G智能卡系統(tǒng)[J].計(jì)算機(jī)工程,2011,37(2):229?231.

[4] 烏力吉,李賀鑫,任燕婷,等.智能卡功耗分析平臺(tái)設(shè)計(jì)與實(shí)現(xiàn)[J].清華大學(xué)學(xué)報(bào)(自然科學(xué)版),2012(10):1409?1414.

[5] 胡先智,梁艷.電子錢包支付系統(tǒng)設(shè)計(jì)與應(yīng)用[J].信息與電腦,2011(9):64.

[6] 陶勇剛.電子錢包的安全管理方法及服務(wù)終端、電子錢包系統(tǒng):中國,CN103188212A[P].2013?07?03.

第9篇:集成電路后端設(shè)計(jì)流程范文

一、充分認(rèn)識(shí)建設(shè)光伏高新區(qū)對(duì)推動(dòng)秀洲工業(yè)經(jīng)濟(jì)轉(zhuǎn)型發(fā)展的重大意義

(一)加快建設(shè)光伏高新區(qū)是推動(dòng)秀洲工業(yè)經(jīng)濟(jì)轉(zhuǎn)型發(fā)展的迫切需要

通過多年來的努力,秀洲工業(yè)經(jīng)濟(jì)得到了長(zhǎng)足的發(fā)展,工業(yè)化發(fā)展水平穩(wěn)步提高,實(shí)現(xiàn)了從傳統(tǒng)農(nóng)業(yè)區(qū)向現(xiàn)代工業(yè)區(qū)的歷史轉(zhuǎn)變。但也必須清醒地看到,當(dāng)前秀洲工業(yè)正面臨著產(chǎn)業(yè)結(jié)構(gòu)不盡合理、要素制約日益趨緊、產(chǎn)品附加低端鎖定等嚴(yán)峻挑戰(zhàn)。在轉(zhuǎn)型升級(jí)的爬坡過坎階段,傳統(tǒng)產(chǎn)業(yè)是發(fā)展的基礎(chǔ),是吸納就業(yè)、維護(hù)社會(huì)穩(wěn)定和保障政府稅源的主力軍;新興產(chǎn)業(yè)是發(fā)展的引擎,是破解可持續(xù)發(fā)展瓶頸和實(shí)現(xiàn)跨越式發(fā)展的關(guān)鍵點(diǎn)。秀洲工業(yè)經(jīng)濟(jì)需要立足當(dāng)前、著眼長(zhǎng)遠(yuǎn),在改造提升傳統(tǒng)產(chǎn)業(yè)同時(shí),更需要加快培育發(fā)展新興產(chǎn)業(yè)的步伐。結(jié)合秀洲產(chǎn)業(yè)基礎(chǔ),從增長(zhǎng)潛力、帶動(dòng)效應(yīng)、技術(shù)優(yōu)勢(shì)等方面看,加快培育以光伏為核心的新能源產(chǎn)業(yè),建設(shè)光伏高新區(qū)是最好的選擇。

(二)以光伏為核心的新能源產(chǎn)業(yè)發(fā)展迅猛、前景光明

從長(zhǎng)遠(yuǎn)看,傳統(tǒng)的石油、煤等化石能源將枯竭,再加上低碳發(fā)展、綠色發(fā)展、保護(hù)環(huán)境的要求越來越高,以光伏為代表的新能源代替?zhèn)鹘y(tǒng)能源已是大勢(shì)所趨,且進(jìn)程日趨加快。光伏產(chǎn)業(yè)作為我國為數(shù)不多在國際市場(chǎng)上具有話語權(quán)的新興產(chǎn)業(yè),近兩年由于受國內(nèi)市場(chǎng)啟動(dòng)緩慢、國際貿(mào)易保護(hù)等多種因素影響,全行業(yè)陷入低谷,但這一困難是暫時(shí)性的、結(jié)構(gòu)性的。隨著國家層面的高度重視,今年以來已連續(xù)出臺(tái)了一系列政策舉措,包括支持光伏企業(yè)結(jié)構(gòu)調(diào)整,開發(fā)國內(nèi)市場(chǎng),加快技術(shù)創(chuàng)新,優(yōu)化信貸支持等,從今年下半年的情況看,國內(nèi)光伏行業(yè)已經(jīng)“回暖”,態(tài)勢(shì)向好。同時(shí),隨著目前光伏產(chǎn)業(yè)正步入大規(guī)模整合提升、大規(guī)模技術(shù)創(chuàng)新、大規(guī)模資源要素重新配置的階段,對(duì)于不具備明顯先發(fā)優(yōu)勢(shì)的秀洲而言,是“中途發(fā)力”、“彎道超車”的窗口期。

(三)光伏高新區(qū)創(chuàng)建以來開局良好,成效初步顯現(xiàn)

自去年12月正式啟動(dòng)光伏高新區(qū)建設(shè),在近一年的時(shí)間里,通過共同努力,創(chuàng)建工作開局良好,成效初步顯現(xiàn)。

最直接的成效就是引進(jìn)了一大批項(xiàng)目。至2014年4月,累計(jì)已引進(jìn)光伏類項(xiàng)目23個(gè),總投資折合人民幣達(dá)100多億元,今年在談的意向項(xiàng)目也已有16個(gè)。國家電網(wǎng)浙江省分布式光伏并網(wǎng)技術(shù)研究院、國家納米中心長(zhǎng)三角研究院、中國電子第十一設(shè)計(jì)研究院華東區(qū)總部項(xiàng)目等“國”字號(hào)單位紛紛在秀洲設(shè)立地區(qū)總部或研發(fā)中心等功能性機(jī)構(gòu),南源環(huán)保等一批重大項(xiàng)目已開工建設(shè)。與此同時(shí),一批世界500強(qiáng)或行業(yè)龍頭企業(yè)項(xiàng)目也正在密切對(duì)接之中。這些項(xiàng)目的共同特點(diǎn)是產(chǎn)業(yè)層次高、技術(shù)含量高、產(chǎn)品附加值高、發(fā)展前景好,是我們多年來一直想引進(jìn)的企業(yè)??梢赃@么說,光伏高新區(qū)的品牌效應(yīng)開始顯現(xiàn),影響力不斷擴(kuò)大。

第二,最重要的成效是理清了建設(shè)光伏高新區(qū)的思路,且實(shí)踐證明這一思路是可行的、卓有成效的。圍繞一個(gè)產(chǎn)業(yè),建設(shè)專業(yè)園區(qū),走專業(yè)化發(fā)展道路,符合下一步區(qū)域經(jīng)濟(jì)發(fā)展的方向;按照“五位一體”的總體要求,堅(jiān)持創(chuàng)新驅(qū)動(dòng),不斷強(qiáng)化“應(yīng)用促創(chuàng)新、創(chuàng)新促發(fā)展、改革促發(fā)展、開放合作促發(fā)展”的開發(fā)模式,符合新興產(chǎn)業(yè)培育的路徑。堅(jiān)持從秀洲和行業(yè)的實(shí)際出發(fā),高端切入,錯(cuò)位發(fā)展,主攻光伏專用設(shè)備和光伏發(fā)電核心部件、光伏發(fā)電系統(tǒng)集成及高效光伏電池與組件、新一代光伏發(fā)電技術(shù)及光伏光熱集成技術(shù)等三大重點(diǎn)領(lǐng)域,符合光伏行業(yè)下一步發(fā)展的趨勢(shì)。堅(jiān)持專業(yè)化招商,委托專業(yè)中介機(jī)構(gòu)編制“產(chǎn)業(yè)地圖”、“招商地圖”,有的放矢開展招商,符合產(chǎn)業(yè)招商的特點(diǎn)。堅(jiān)持自我加壓,倒排計(jì)劃、倒排時(shí)間,在園區(qū)成立的第一個(gè)100天和第二個(gè)100天分別舉行活動(dòng),邀請(qǐng)一批客商,簽約一批項(xiàng)目,推介園區(qū),展示園區(qū),也充分證明了我們有一支很強(qiáng)戰(zhàn)斗力的隊(duì)伍。

第三,最“給力”的成效是得到了各級(jí)領(lǐng)導(dǎo)的重視和支持。政策集成創(chuàng)新本身就是“五位一體”試點(diǎn)內(nèi)容之一,光伏高新區(qū)的建設(shè)得到省、市的有力支持。同時(shí),近一年來,光伏高新區(qū)建設(shè)得到各級(jí)領(lǐng)導(dǎo)的高度關(guān)注,省、市主要領(lǐng)導(dǎo)和分管領(lǐng)導(dǎo)多次批示,國家能源局、國家科技部、國家工信部領(lǐng)多次實(shí)地調(diào)研,高新區(qū)已經(jīng)獲批承建國家“863計(jì)劃”項(xiàng)目,也有望獲得“國家新能源示范城市”稱號(hào),這為下一步發(fā)展?fàn)I造了良好的環(huán)境。

總之,建設(shè)光伏高新區(qū)、培育以光伏為核心的新能源產(chǎn)業(yè)是秀洲工業(yè)經(jīng)濟(jì)應(yīng)對(duì)新形勢(shì)、實(shí)現(xiàn)新突破、得到新跨越的關(guān)鍵所在,也是省、市交給我們光榮而重大的任務(wù)。同時(shí),通過近一年來的創(chuàng)建工作,已經(jīng)有了一個(gè)良好的開端,基礎(chǔ)扎實(shí)奠定。因此,下一步,需要我們進(jìn)一步統(tǒng)一思想,形成共識(shí),凝心聚力,全力以赴推進(jìn)。

一方面,要咬定青山不放松。建設(shè)一個(gè)專業(yè)園區(qū),培育一個(gè)新興產(chǎn)業(yè),絕不可能一蹴而就,二是一個(gè)長(zhǎng)期的過程。如上海張江高科技園區(qū),經(jīng)過20年的開發(fā),才構(gòu)筑全國領(lǐng)先的生物醫(yī)藥創(chuàng)新鏈和集成電路產(chǎn)業(yè)鏈的框架。再如平湖光機(jī)電產(chǎn)業(yè)基地,自1999年引進(jìn)第一家機(jī)電企業(yè),經(jīng)過10多年的堅(jiān)持,才發(fā)展成現(xiàn)有規(guī)模。光伏高新區(qū)的建設(shè)同樣如此,要有咬定青山不放松的精神,要有不達(dá)目的不罷休、不獲全勝不收兵的勁頭。絕不能抓一陣子,燒三把火,也絕不能稍有成績(jī)就沾沾自喜,更絕不能一有困難就輕言放棄,定了干,干就干到底,抓就抓到位,有始有終,善始善終,才能真正見到成效。

另一方面,要集中力量辦大事。建設(shè)光伏高新區(qū)絕不僅僅是秀洲工業(yè)園區(qū)的任務(wù),應(yīng)該是全區(qū)上下,各級(jí)各部門的共同任務(wù),需要區(qū)級(jí)各部門包括各鎮(zhèn)、街道的共同參與和大力支持。建設(shè)光伏高新區(qū)需要聚全區(qū)之資源,進(jìn)一步強(qiáng)化土地、資金、人員等各種要素保障,也需要匯全區(qū)之智,出謀劃策,共同探討、研究建設(shè)的思路和舉措。要通過舉全區(qū)之力,加快建設(shè)光伏高新區(qū),打造秀洲工業(yè)的“升級(jí)版”。

二、發(fā)揮光伏高新區(qū)在推動(dòng)秀洲工業(yè)經(jīng)濟(jì)轉(zhuǎn)型發(fā)展中核心作用的舉措

回顧光伏高新區(qū)創(chuàng)建一年來的情況,成效明顯,但也應(yīng)該清醒地看到存在的問題和 困難:一是園區(qū)尚未成型。盡管引進(jìn)了一批項(xiàng)目,但真正對(duì)園區(qū)建設(shè)有支撐作用的大項(xiàng)目還沒有實(shí)質(zhì)性突破,特別是與國內(nèi)領(lǐng)先的成都雙流新能源園區(qū)、中國電谷·保定國家高新區(qū)等相比,差距比較大。二是面臨技術(shù)和市場(chǎng)風(fēng)險(xiǎn)。新興產(chǎn)業(yè)代表未來,存在一定技術(shù)和市場(chǎng)選擇的風(fēng)險(xiǎn),引進(jìn)什么樣的企業(yè),走什么樣的技術(shù)路線,這也是一個(gè)大的挑戰(zhàn)。三是工作力度上需要進(jìn)一步加大。對(duì)外,由于光伏產(chǎn)業(yè)是一個(gè)新興行業(yè),在應(yīng)用、商業(yè)模式、技術(shù)、金融等方面需要?jiǎng)?chuàng)新,而創(chuàng)新需要得到上級(jí)的支持,如何向上向外爭(zhēng)取更多的支持,需要進(jìn)一步加大工作力度;對(duì)內(nèi),加強(qiáng)產(chǎn)業(yè)發(fā)展、示范應(yīng)用和技術(shù)創(chuàng)新之間的協(xié)同上還需要進(jìn)一步推動(dòng),招商選資、產(chǎn)業(yè)培育的重點(diǎn)需要進(jìn)一步明確、力度需要進(jìn)一步加大,要素瓶頸制約的突破上需要進(jìn)一步創(chuàng)新。

如果說,2013年是光伏高新區(qū)創(chuàng)建的開局之年、起步之年,2014年則是完成全省“五位一體”試點(diǎn)三年目標(biāo)的關(guān)鍵之年,是搶抓國內(nèi)外光伏行業(yè)整合良好機(jī)遇,創(chuàng)建“國內(nèi)一流、面向世界”中國光伏科技城的發(fā)力之年。因此,2014年,要針對(duì)存在的問題和困難,應(yīng)對(duì)新的形勢(shì),進(jìn)一步以百倍的工作努力,全力以赴推進(jìn)。具體要進(jìn)一步做好七方面工作:

(一)進(jìn)一步明確方向

圍繞“五位一體”試點(diǎn)的總體要求,進(jìn)一步明確光伏高新區(qū)的發(fā)展方向,要力爭(zhēng)通過3-5年的努力,把光伏高新區(qū)打造成為全國領(lǐng)先、具有核心競(jìng)爭(zhēng)力的專業(yè)化園區(qū)。

全國領(lǐng)先,就是定位要高,這也是全省“五位一體”試點(diǎn)的要求。要全國領(lǐng)先,最核心的標(biāo)志是全國領(lǐng)先的重點(diǎn)企業(yè),要在光伏電池、系統(tǒng)集成、新一代產(chǎn)品、光伏配件等領(lǐng)域,著力培育若干家銷售規(guī)模上百億,掌握核心技術(shù)和自主知識(shí)產(chǎn)權(quán),規(guī)模效應(yīng)高、創(chuàng)新能力強(qiáng)的龍頭骨干企業(yè),鼓勵(lì)發(fā)展一批實(shí)現(xiàn)差異化發(fā)展的創(chuàng)新型成長(zhǎng)性中小光伏企業(yè)。最直觀的標(biāo)志是全國領(lǐng)先的應(yīng)用示范,要加快實(shí)現(xiàn)園區(qū)光伏發(fā)電全覆蓋,原有屋頂全面改造,新建建筑逐步安裝,真正全面打造國家“新能源示范園區(qū)”。

具有核心競(jìng)爭(zhēng)力,就是要?jiǎng)?chuàng)新方法,聚集資源,打造高新區(qū)獨(dú)特的競(jìng)爭(zhēng)優(yōu)勢(shì)。一要走“產(chǎn)業(yè)鏈垂直整合”的道路。緊緊圍繞光伏整個(gè)產(chǎn)業(yè)鏈的相關(guān)環(huán)節(jié),依托相互之間的關(guān)聯(lián)性,把研發(fā)設(shè)計(jì)、裝備制造、生產(chǎn)制造、應(yīng)用開發(fā)等相關(guān)環(huán)節(jié)上的龍頭企業(yè)、優(yōu)勢(shì)企業(yè)聚集整合起來,形成強(qiáng)強(qiáng)聯(lián)合的優(yōu)勢(shì)產(chǎn)業(yè)鏈,打造產(chǎn)業(yè)發(fā)展的核心競(jìng)爭(zhēng)力。二要走“產(chǎn)業(yè)培育、示范應(yīng)用和技術(shù)創(chuàng)新協(xié)同推進(jìn)”的道路。堅(jiān)持以產(chǎn)業(yè)培育為核心,以示范應(yīng)用帶動(dòng)技術(shù)創(chuàng)新,以技術(shù)創(chuàng)新推動(dòng)產(chǎn)業(yè)發(fā)展,通過三個(gè)環(huán)節(jié)的協(xié)同推進(jìn),打造工作推進(jìn)機(jī)制的核心競(jìng)爭(zhēng)力。三要走“強(qiáng)化公共服務(wù)平臺(tái)”的道路。在繼續(xù)完善高新區(qū)基礎(chǔ)政策硬平臺(tái)的同時(shí),加大檢驗(yàn)檢測(cè)、投融資、交易展示等公共服務(wù)軟平臺(tái)建設(shè)力度,打造服務(wù)體系的核心競(jìng)爭(zhēng)力。

專業(yè)化園區(qū),就是要圍繞一個(gè)主導(dǎo)產(chǎn)業(yè)來打造園區(qū)。這一主導(dǎo)產(chǎn)業(yè)主要聚焦光伏產(chǎn)業(yè),但同時(shí)也要樹立“泛光伏”的理念,要把與光伏相關(guān)的光電一體化、電力電氣、高端裝備制造等產(chǎn)業(yè)納入培育的范圍,以拉長(zhǎng)產(chǎn)業(yè)鏈、抵御單行業(yè)風(fēng)險(xiǎn)。

(二)進(jìn)一步加快產(chǎn)業(yè)基地建設(shè)

一是明確招商重點(diǎn)。按照高新區(qū)現(xiàn)有的產(chǎn)業(yè)基礎(chǔ)與資源,按照光伏行業(yè)目前的發(fā)展現(xiàn)狀,按照高新區(qū)需要盡快成形的要求,必須要突出重點(diǎn)抓招商,即以“四個(gè)為主”為重點(diǎn)開展招商選資:要以重大項(xiàng)目為主,光伏產(chǎn)業(yè)作為資本和技術(shù)密集型產(chǎn)業(yè),作為市場(chǎng)“大起大落”的新興產(chǎn)業(yè),要把具有較強(qiáng)實(shí)力、較強(qiáng)技術(shù)研發(fā)能力的龍頭企業(yè)作為招商的重中之重,從而帶動(dòng)整個(gè)產(chǎn)業(yè)鏈發(fā)展,支撐高新區(qū)發(fā)展。要以電池及組件制造為主,光伏電池的特點(diǎn)決定電池及組件制造是整個(gè)產(chǎn)業(yè)鏈的核心環(huán)節(jié),只有引進(jìn)了電池及組建制造的龍頭企業(yè),才能聚集中后端的逆變器、智能電表、控制系統(tǒng)等企業(yè),也更容易突破引進(jìn)附加值更高的、也是最理想的光伏裝備項(xiàng)目。要以“泛光伏產(chǎn)業(yè)”為主,在重點(diǎn)突破光伏產(chǎn)業(yè)的同時(shí),拓展LED、新一代環(huán)保高效儲(chǔ)能系統(tǒng)等產(chǎn)業(yè),整體打造產(chǎn)業(yè)優(yōu)勢(shì)。要以光伏制造業(yè)為主,通過引進(jìn)制造項(xiàng)目,帶動(dòng)研發(fā)設(shè)計(jì)、應(yīng)用推廣等高端生產(chǎn)業(yè)發(fā)展,形成完整產(chǎn)業(yè)鏈。

二是創(chuàng)新招商機(jī)制。要在繼續(xù)發(fā)揮“招商地圖”等有效招商手段的基礎(chǔ)上,重點(diǎn)突出四個(gè)“專業(yè)招商”:要推進(jìn)專業(yè)中介機(jī)構(gòu)招商,充分發(fā)揮中介機(jī)構(gòu)信息量大、招商專業(yè)性強(qiáng)、組織網(wǎng)絡(luò)覆蓋面廣、招商成本低、市場(chǎng)空間充分的優(yōu)勢(shì),在繼續(xù)加強(qiáng)與歐洲清潔能源協(xié)會(huì)、SEMI、中國光伏產(chǎn)業(yè)聯(lián)盟等知名專業(yè)中介機(jī)構(gòu)合作同時(shí),進(jìn)一步拓展與其他國內(nèi)外知名中介機(jī)構(gòu)合作,大力推進(jìn)招商。要依托專業(yè)人員招商,加強(qiáng)與一批專業(yè)水平高、在行業(yè)內(nèi)有一定地位和影響的客商、專家和領(lǐng)導(dǎo)的合作,通過他們開展招商選資工作,提高項(xiàng)目的針對(duì)性和成功度。要參加專業(yè)展會(huì)招商,組織參加德國慕尼黑太陽能展、日本東京國際光伏展、上海太陽能光伏展等國內(nèi)外知名光伏專業(yè)展會(huì),積極籌劃舉辦“光伏發(fā)電應(yīng)用博覽會(huì)”和“中國(嘉興)光伏產(chǎn)業(yè)發(fā)展高峰論壇”,對(duì)接和匯聚國家產(chǎn)業(yè)聯(lián)盟、國內(nèi)外重點(diǎn)企業(yè)、重點(diǎn)科研院所、產(chǎn)業(yè)關(guān)鍵人物等產(chǎn)業(yè)資源,推廣光伏高新區(qū)。要強(qiáng)化專業(yè)化隊(duì)伍招商,探索建立區(qū)光伏產(chǎn)業(yè)招商組,以光伏高新區(qū)為主體、區(qū)相關(guān)部門全力參與和配合,共同開展招商;進(jìn)一步開展學(xué)習(xí)和培訓(xùn),提高光伏高新區(qū)招商人員素質(zhì);健全招商內(nèi)部競(jìng)爭(zhēng)機(jī)制和激勵(lì)機(jī)制,推動(dòng)實(shí)效性。

三是加快項(xiàng)目建設(shè)。項(xiàng)目引進(jìn)只是第一步,項(xiàng)目落地、建設(shè)、投產(chǎn)才是最關(guān)鍵的。從目前招商形勢(shì)看,服務(wù)、推動(dòng)、加快項(xiàng)目建設(shè)步伐將成為下一步光伏高新區(qū)建設(shè)至關(guān)重要的一項(xiàng)工作。要建立1個(gè)重大項(xiàng)目+1個(gè)推進(jìn)服務(wù)小組的機(jī)制,由區(qū)相關(guān)領(lǐng)導(dǎo)牽頭,抽調(diào)區(qū)相關(guān)職能部門和光伏高新區(qū)管委會(huì)的精兵強(qiáng)將,建立推進(jìn)服務(wù)小組,在審批、落地、建設(shè)、投產(chǎn)等各個(gè)環(huán)節(jié),超常規(guī)集聚資源,超常規(guī)解決問題,超常規(guī)提供服務(wù),切實(shí)加快重大項(xiàng)目建設(shè)進(jìn)度。

(三)進(jìn)一步推動(dòng)示范應(yīng)用

一是更好服務(wù)于產(chǎn)業(yè)培育。要緊抓國內(nèi)光伏市場(chǎng)啟動(dòng)的重大契機(jī),把握“市場(chǎng)促產(chǎn)業(yè)”的發(fā)展規(guī)律,建立健全光伏發(fā)推廣應(yīng)用與產(chǎn)業(yè)發(fā)展相互促進(jìn)的良性互動(dòng)機(jī)制,通過推動(dòng)光伏發(fā)電應(yīng)用示范,聚集行業(yè)內(nèi)的目光,不斷完善光伏產(chǎn)業(yè)鏈。要在繼續(xù)抓好今年61MW分布式光伏發(fā)電項(xiàng)目的同時(shí),積極向上爭(zhēng)取規(guī)模,妥善處理好有限屋頂資源與項(xiàng)目需求之間的關(guān)系,合理確定新一輪項(xiàng)目規(guī)模,積極穩(wěn)妥予以推進(jìn)。

二是更加注重示范性。繼續(xù)堅(jiān)持“以點(diǎn)帶面、示范先行、規(guī)模適度”原則,注重應(yīng)用產(chǎn)品的多樣化、應(yīng)用領(lǐng)域的多元化。在繼續(xù)大力抓好企業(yè)、集中式屋頂發(fā)電項(xiàng)目的同時(shí),切實(shí)抓好國家“863”項(xiàng)目,努力成為全國示范。在推進(jìn)光伏發(fā)電應(yīng)用的同時(shí),積極推進(jìn)與儲(chǔ)能系統(tǒng)的結(jié)合,探索更有效的技術(shù)模式。

三是更快推動(dòng)應(yīng)用模式創(chuàng)新。通過屋頂太陽能光伏發(fā)電示范應(yīng)用,以政府為主導(dǎo)、相關(guān)政策為配套,鼓勵(lì)以第三方建設(shè)合同能源管理為主,鼓勵(lì)用戶自建,逐步建立健全光伏發(fā)電標(biāo)準(zhǔn)化的規(guī)劃設(shè)計(jì)、建設(shè)、運(yùn)維管理規(guī)范體系,形成可持續(xù)發(fā)展的光伏發(fā)電商業(yè)創(chuàng)新模式,向全省、全國推廣應(yīng)用。進(jìn)一步推動(dòng)融資模式創(chuàng)新,積極探索金融租賃、收益權(quán)抵押、企業(yè)債、電站資產(chǎn)證券化等多種方式,拓寬企業(yè)融資渠道。

(四)進(jìn)一步加強(qiáng)技術(shù)創(chuàng)新

一是發(fā)揮好省級(jí)重點(diǎn)企業(yè)研究院作用。以國家電網(wǎng)浙江省分布式光伏并網(wǎng)技術(shù)研究院、中國電子科技集團(tuán)第三十六研究所光伏裝備與智能控制研究院為依托,充分發(fā)揮省級(jí)企業(yè)研究院在人才資源集聚、技術(shù)研發(fā)突破、行業(yè)創(chuàng)新引領(lǐng)等方面的作用,支撐產(chǎn)業(yè)發(fā)展。按照“成熟一個(gè)、建設(shè)一個(gè)、扶持一個(gè)”的建設(shè)思路,在園區(qū)內(nèi)建設(shè)不同研究方向的太陽能光伏省級(jí)及以上重點(diǎn)企業(yè)研究院。

二是積極引導(dǎo)開展協(xié)同創(chuàng)新。全力支持重點(diǎn)企業(yè)牽頭實(shí)施產(chǎn)業(yè)目標(biāo)明確的重大科技項(xiàng)目,不斷加強(qiáng)與國內(nèi)外知名高校、科研機(jī)構(gòu)的合作, 在園區(qū)內(nèi)聯(lián)合建立包括企業(yè)研究院、工程(技術(shù))研究中心、實(shí)驗(yàn)室、大公司地區(qū)研發(fā)總部等在內(nèi)的光伏技術(shù)創(chuàng)新綜合平臺(tái),對(duì)新型光伏技術(shù)、分布式光伏并網(wǎng)技術(shù)、光伏裝備工程技術(shù)等方面的公共應(yīng)用性技術(shù)和共性技術(shù)進(jìn)行聯(lián)合攻關(guān)和科技成果輸出,從“制造”向“智造”并舉。

三是加快公共服務(wù)平臺(tái)建設(shè)。依托國電通、36所、中科優(yōu)恒公司等機(jī)構(gòu),積極籌建國家級(jí)光伏質(zhì)檢中心,實(shí)現(xiàn)光伏產(chǎn)業(yè)研發(fā)生產(chǎn)和檢驗(yàn)檢測(cè)服務(wù)有機(jī)融合、互動(dòng)發(fā)展。大力發(fā)展技術(shù)評(píng)估、技術(shù)咨詢、技術(shù)服務(wù)、技術(shù)轉(zhuǎn)移、專利、科技信息、投融資、人才培養(yǎng)、法律服務(wù)等各類中介組織,形成完整的服務(wù)保障體系,為企業(yè)提供便捷高效的服務(wù)。

(五)進(jìn)一步促進(jìn)產(chǎn)城融合

一是加強(qiáng)相關(guān)規(guī)劃銜接。進(jìn)一步細(xì)化光伏高新區(qū)建設(shè)規(guī)劃,注重與秀洲新區(qū)、秀洲工業(yè)園區(qū)城市建設(shè)三年行動(dòng)計(jì)劃、服務(wù)業(yè)發(fā)展規(guī)劃等有關(guān)方案規(guī)劃的銜接,制定生產(chǎn)體系、生活體系與社區(qū)居民服務(wù)體系三個(gè)產(chǎn)業(yè)專項(xiàng)規(guī)劃,促進(jìn)三大產(chǎn)業(yè)的協(xié)調(diào)發(fā)展和空間的優(yōu)化布局。

二是加強(qiáng)基礎(chǔ)設(shè)施建設(shè)。加快推進(jìn)光伏高新區(qū)及其周邊交通網(wǎng)構(gòu)建,加快東升西路延伸段、新08省道建設(shè),完善加創(chuàng)路北段、洪高路延伸段等次干道和支路網(wǎng)絡(luò),著力構(gòu)建立體式交通網(wǎng)絡(luò)。進(jìn)一步完善光伏高新區(qū)內(nèi)燃?xì)?、供水、綠化、污水處理等重大設(shè)施基礎(chǔ)和配套設(shè)施建設(shè),推進(jìn)中山西路、新塍大道綠化建設(shè)或改造,實(shí)現(xiàn)光伏高新區(qū)內(nèi)道路綠化全覆蓋,營造良好的生態(tài)環(huán)境。

(六)進(jìn)一步強(qiáng)化要素保障

一是強(qiáng)化資金保障。積極爭(zhēng)取上級(jí)資金,充分利用上級(jí)扶持光伏產(chǎn)業(yè)發(fā)展以及鼓勵(lì)光伏應(yīng)用的政策,爭(zhēng)取光伏高新區(qū)基礎(chǔ)設(shè)施建設(shè)、重大科技技術(shù)創(chuàng)新、重點(diǎn)科研機(jī)構(gòu)建設(shè)、光伏發(fā)電上網(wǎng)電價(jià)等資金補(bǔ)助。加強(qiáng)財(cái)政資金整合,向光伏高新區(qū)建設(shè)傾斜;努力降低融資成本,加強(qiáng)與中國進(jìn)出口銀行浙江省分行等金融機(jī)構(gòu)的融資對(duì)接合作;設(shè)立園區(qū)天使投資基金,組建政府創(chuàng)司,支持處在孵化期的光伏重點(diǎn)產(chǎn)業(yè)項(xiàng)目和重大科研團(tuán)隊(duì)項(xiàng)目。依托創(chuàng)業(yè)投資中介服務(wù)平臺(tái),組建民間私募風(fēng)險(xiǎn)投資基金,吸引民營風(fēng)險(xiǎn)投資公司參與園區(qū)科技風(fēng)險(xiǎn)投資。鼓勵(lì)直接融資,引導(dǎo)光伏企業(yè)進(jìn)入浙江股權(quán)交易中心等掛牌融資。

二是強(qiáng)化土地保障。積極推進(jìn)光伏高新區(qū)范圍內(nèi)土地利用總體規(guī)劃局部修編,做好光伏產(chǎn)業(yè)用地規(guī)劃。對(duì)于符合省重大產(chǎn)業(yè)項(xiàng)目申報(bào)條件的光伏產(chǎn)業(yè)項(xiàng)目,積極爭(zhēng)取納入省重大產(chǎn)業(yè)項(xiàng)目庫,力爭(zhēng)重點(diǎn)項(xiàng)目用地計(jì)劃指標(biāo)由省單列下達(dá)解決。對(duì)于預(yù)評(píng)估好的光伏產(chǎn)業(yè)項(xiàng)目,確保全區(qū)新增工業(yè)建設(shè)用地指標(biāo)優(yōu)先傾斜。鑒于光伏高新區(qū)內(nèi)不少地塊已開發(fā)建設(shè),必須加快“兩退兩進(jìn)”工作步伐,加大淘汰落后產(chǎn)能力度,提高土地節(jié)約集約利用水平。

(七)進(jìn)一步優(yōu)化發(fā)展環(huán)境