公務(wù)員期刊網(wǎng) 精選范文 大規(guī)模集成電路設(shè)計范文

大規(guī)模集成電路設(shè)計精選(九篇)

前言:一篇好文章的誕生,需要你不斷地搜集資料、整理思路,本站小編為你收集了豐富的大規(guī)模集成電路設(shè)計主題范文,僅供參考,歡迎閱讀并收藏。

大規(guī)模集成電路設(shè)計

第1篇:大規(guī)模集成電路設(shè)計范文

關(guān)鍵詞:IP技術(shù) 模擬集成電路 流程

中圖分類號:TP3 文獻(xiàn)標(biāo)識碼:A 文章編號:1674-098X(2013)03(b)-00-02

1 模擬集成電路設(shè)計的意義

當(dāng)前以信息技術(shù)為代表的高新技術(shù)突飛猛進(jìn)。以信息產(chǎn)業(yè)發(fā)展水平為主要特征的綜合國力競爭日趨激烈,集成電路(IC,Integrated circuit)作為當(dāng)今信息時代的核心技術(shù)產(chǎn)品,其在國民經(jīng)濟(jì)建設(shè)、國防建設(shè)以及人類日常生活的重要性已經(jīng)不言

而喻。

集成電路技術(shù)的發(fā)展經(jīng)歷了若干發(fā)展階段。20世紀(jì)50年代末發(fā)展起來的屬小規(guī)模集成電路(SSI),集成度僅100個元件;60年展的是中規(guī)模集成電路(MSI),集成度為1000個元件;70年代又發(fā)展了大規(guī)模集成電路,集成度大于1000個元件;70年代末進(jìn)一步發(fā)展了超大規(guī)模集成電路(LSI),集成度在105個元件;80年代更進(jìn)一步發(fā)展了特大規(guī)模集成電路,集成度比VLSI又提高了一個數(shù)量級,達(dá)到106個元件以上。這些飛躍主要集中在數(shù)字領(lǐng)域。

(1)自然界信號的處理:自然界的產(chǎn)生的信號,至少在宏觀上是模擬量。高品質(zhì)麥克風(fēng)接收樂隊聲音時輸出電壓幅值從幾微伏變化到幾百微伏。視頻照相機(jī)中的光電池的電流低達(dá)每毫秒幾個電子。地震儀傳感器產(chǎn)生的輸出電壓的范圍從地球微小振動時的幾微伏到強(qiáng)烈地震時的幾百毫伏。由于所有這些信號都必須在數(shù)字領(lǐng)域進(jìn)行多方面的處理,所以我們看到,每個這樣的系統(tǒng)都要包含一個模一數(shù)轉(zhuǎn)換器(AD,C)。

(2)數(shù)字通信:由于不同系統(tǒng)產(chǎn)生的二進(jìn)制數(shù)據(jù)往往要傳輸很長的距離。一個高速的二進(jìn)制數(shù)據(jù)流在通過一個很長的電纜后,信號會衰減和失真,為了改善通信質(zhì)量,系統(tǒng)可以輸入多電平信號,而不是二進(jìn)制信號。現(xiàn)代通信系統(tǒng)中廣泛采用多電平信號,這樣,在發(fā)射器中需要數(shù)一模轉(zhuǎn)換器(DAC)把組合的二進(jìn)制數(shù)據(jù)轉(zhuǎn)換為多電平信號,而在接收器中需要使用模一數(shù)轉(zhuǎn)換器(ADC)以確定所傳輸?shù)碾娖健?/p>

(3)磁盤驅(qū)動電子學(xué)計算機(jī)硬盤中的數(shù)據(jù)采用磁性原理以二進(jìn)制形式存儲。然而,當(dāng)數(shù)據(jù)被磁頭讀取并轉(zhuǎn)換為電信號時,為了進(jìn)一步的處理,信號需要被放大、濾波和數(shù)字化。

(4)無線接收器:射頻接收器的天線接收到的信號,其幅度只有幾微伏,而中心頻率達(dá)到幾GHz。此外,信號伴隨很大的干擾,因此接收器在放大低電平信號時必須具有極小噪聲、工作在高頻并能抑制大的有害分量。這些都對模擬設(shè)計有很大的挑戰(zhàn)性。

(5)傳感器:機(jī)械的、電的和光學(xué)的傳感器在我們的生活中起著重要的作用。例如,視頻照相機(jī)裝有一個光敏二極管陣列,以將像點轉(zhuǎn)換為電流;超聲系統(tǒng)使用聲音傳感器產(chǎn)生一個與超聲波形幅度成一定比例的電壓。放大、濾波和A/D轉(zhuǎn)換在這些應(yīng)用中都是基本的功能。

(6)微處理器和存儲器:大量模擬電路設(shè)計專家參與了現(xiàn)代的微處理器和存儲器的設(shè)計。許多涉及到大規(guī)模芯片內(nèi)部或不同芯片之間的數(shù)據(jù)和時鐘的分布和時序的問題要求將高速信號作為模擬波形處理。而且芯片上信號間和電源間互連中的非理想性以及封裝寄生參數(shù)要求對模擬電路設(shè)計有一個完整的理解。半導(dǎo)體存儲器廣泛使用的高速/讀出放大器0也不可避免地要涉及到許多模擬技術(shù)。因此人們經(jīng)常說高速數(shù)字電路設(shè)計實際上是模擬電路的

設(shè)計。

2 模擬集成電路設(shè)計流程概念

在集成電路工藝發(fā)展和市場需求的推動下,系統(tǒng)芯片SOC和IP技術(shù)越來越成為IC業(yè)界廣泛關(guān)注的焦點。隨著集成技術(shù)的不斷發(fā)展和集成度的迅速提高,集成電路芯片的設(shè)計工作越來越復(fù)雜,因而急需在設(shè)計方法和設(shè)計工具這兩方面有一個大的變革,這就是人們經(jīng)常談?wù)摰脑O(shè)計革命。各種計算機(jī)輔助工具及設(shè)計方法學(xué)的誕生正是為了適應(yīng)這樣的要求。

一方面,面市時間的壓力和新的工藝技術(shù)的發(fā)展允許更高的集成度,使得設(shè)計向更高的抽象層次發(fā)展,只有這樣才能解決設(shè)計復(fù)雜度越來越高的問題。數(shù)字集成電路的發(fā)展證明了這一點:它很快的從基于單元的設(shè)計發(fā)展到基于模塊、IP和IP復(fù)用的

設(shè)計。

另一方面,工藝尺寸的縮短使得設(shè)計向相反的方向發(fā)展:由于物理效應(yīng)對電路的影響越來越大,這就要求在設(shè)計中考慮更低層次的細(xì)節(jié)問題。器件數(shù)目的增多、信號完整性、電子遷移和功耗分析等問題的出現(xiàn)使得設(shè)計日益復(fù)雜。

3 模擬集成電路設(shè)計流程

3.1 模擬集成電路設(shè)計系統(tǒng)環(huán)境

集成電路的設(shè)計由于必須通過計算機(jī)輔助完成整個過程,所以對軟件和硬件配置都有較高的要求。

(1)模擬集成電路設(shè)計EDA工具種類及其舉例

設(shè)計資料庫―Cadence Design Framework11

電路編輯軟件―Text editor/Schematic editor

電路模擬軟件―Spectre,HSPICE,Nanosim

版圖編輯軟件―Cadence virtuoso,Laker

物理驗證軟件―Diva,Dracula,Calibre,Hercules

(2)系統(tǒng)環(huán)境

工作站環(huán)境;Unix-Based作業(yè)系統(tǒng);由于EDA軟件的運行和數(shù)據(jù)的保存需要穩(wěn)定的計算機(jī)環(huán)境,所以集成電路的設(shè)計通常采用Unix-Based的作業(yè)系統(tǒng),如圖1所示的工作站系統(tǒng)。現(xiàn)在的集成電路設(shè)計都是團(tuán)隊協(xié)作完成的,甚至工程師們在不同的地點進(jìn)行遠(yuǎn)程協(xié)作設(shè)計。EDA軟件、工作站系統(tǒng)的資源合理配置和數(shù)據(jù)庫的有效管理將是集成電路設(shè)計得以完成的重要保障。

3.2 模擬集成電路設(shè)計流程概述

根據(jù)處理信號類型的不同,集成電路一般可以分為數(shù)字電路、模擬電路和數(shù)?;旌霞呻娐?,它們的設(shè)計方法和設(shè)計流程是不同的,在這部分和以后的章節(jié)中我們將著重講述模擬集成電路的設(shè)計方法和流程。模擬集成電路設(shè)計是一種創(chuàng)造性的過程,它通過電路來實現(xiàn)設(shè)計目標(biāo),與電路分析剛好相反。電路的分析是一個由電路作為起點去發(fā)現(xiàn)其特性的過程。電路的綜合或者設(shè)計則是從一套期望的性能參數(shù)開始去尋找一個令人滿意的電路,對于一個設(shè)計問題,解決方案可能不是唯一的,這樣就給予了設(shè)計者去創(chuàng)造的機(jī)會。

模擬集成電路設(shè)計包括若干個階段,設(shè)計模擬集成電路一般的過程。

(l)系統(tǒng)規(guī)格定義;(2)電路設(shè)計;(3)電路模擬;(4)版圖實現(xiàn);(5)物理驗證;(6)參數(shù)提取后仿真;(7)可靠性分析;(8)芯片制造;(9)測試。

除了制造階段外,設(shè)計師應(yīng)對其余各階段負(fù)責(zé)。設(shè)計流程從一個設(shè)計構(gòu)思開始,明確設(shè)計要求和進(jìn)行綜合設(shè)計。為了確認(rèn)設(shè)計的正確性,設(shè)計師要應(yīng)用模擬方法評估電路的性能。

這時可能要根據(jù)模擬結(jié)果對電路作進(jìn)一步改進(jìn),反復(fù)進(jìn)行綜合和模擬。一旦電路性能的模擬結(jié)果能滿足設(shè)計要求就進(jìn)行另一個主要設(shè)計工作―電路的幾何描述(版圖設(shè)計)。版圖完成并經(jīng)過物理驗證后需要將布局、布線形成的寄生效應(yīng)考慮進(jìn)去再次進(jìn)行計算機(jī)模擬。如果模擬結(jié)果也滿足設(shè)計要求就可以進(jìn)行制造了。

3.3 模擬集成電路設(shè)計流程分述

(1)系統(tǒng)規(guī)格定義

這個階段系統(tǒng)工程師把整個系統(tǒng)和其子系統(tǒng)看成是一個個只有輸入輸出關(guān)系的/黑盒子,不僅要對其中每一個進(jìn)行功能定義,而且還要提出時序、功耗、面積、信噪比等性能參數(shù)的范圍要求。

(2)電路設(shè)計

根據(jù)設(shè)計要求,首先要選擇合適的工藝制程;然后合理的構(gòu)架系統(tǒng),例如并行的還是串行的,差分的還是單端的;依照架構(gòu)來決定元件的組合,例如,電流鏡類型還是補(bǔ)償類型;根據(jù)交、直流參數(shù)決定晶體管工作偏置點和晶體管大?。灰拉h(huán)境估計負(fù)載形態(tài)和負(fù)載值。由于模擬集成電路的復(fù)雜性和變化的多樣性,目前還沒有EDA廠商能夠提供完全解決模擬集成電路設(shè)計自動化的工具,此環(huán)節(jié)基本上通過手工計算來完成的。

(3)電路模擬

設(shè)計工程師必須確認(rèn)設(shè)計是正確的,為此要基于晶體管模型,借助EDA工具進(jìn)行電路性能的評估,分析。在這個階段要依據(jù)電路仿真結(jié)果來修改晶體管參數(shù);依制程參數(shù)的變異來確定電路工作的區(qū)間和限制;驗證環(huán)境因素的變化對電路性能的影響;最后還要通過仿真結(jié)果指導(dǎo)下一步的版圖實現(xiàn),例如,版圖對稱性要求,電源線的寬度。

(4)版圖實現(xiàn)

電路的設(shè)計及模擬決定電路的組成及相關(guān)參數(shù),但并不能直接送往晶圓代工廠進(jìn)行制作。設(shè)計工程師需提供集成電路的物理幾何描述稱為版圖。這個環(huán)節(jié)就是要把設(shè)計的電路轉(zhuǎn)換為圖形描述格式。模擬集成電路通常是以全定制方法進(jìn)行手工的版圖設(shè)計。在設(shè)計過程中需要考慮設(shè)計規(guī)則、匹配性、噪聲、串?dāng)_、寄生效應(yīng)、防門鎖等對電路性能和可制造性的影響。雖然現(xiàn)在出現(xiàn)了許多高級的全定制輔助設(shè)計方法,仍然無法保證手工設(shè)計對版圖布局和各種效應(yīng)的考慮全面性。

(5)物理驗證

版圖的設(shè)計是否滿足晶圓代工廠的制造可靠性需求?從電路轉(zhuǎn)換到版圖是否引入了新的錯誤?物理驗證階段將通過設(shè)計規(guī)則檢查(DRC,Design Rule Cheek)和版圖網(wǎng)表與電路原理圖的比對(VLS,Layout Versus schematic)解決上述的兩類驗證問題。幾何規(guī)則檢查用于保證版圖在工藝上的可實現(xiàn)性。它以給定的設(shè)計規(guī)則為標(biāo)準(zhǔn),對最小線寬、最小圖形間距、孔尺寸、柵和源漏區(qū)的最小交疊面積等工藝限制進(jìn)行檢查。版圖網(wǎng)表與電路原理圖的比對用來保證版圖的設(shè)計與其電路設(shè)計的匹配。VLS工具從版圖中提取包含電氣連接屬性和尺寸大小的電路網(wǎng)表,然后與原理圖得到的網(wǎng)表進(jìn)行比較,檢查兩者是否一致。

參考文獻(xiàn)

第2篇:大規(guī)模集成電路設(shè)計范文

本屆IC China展會呈現(xiàn)出 “新、特、多”等特點。

“新”,本屆展會是展示十年來產(chǎn)業(yè)發(fā)展成果,認(rèn)真總結(jié)產(chǎn)業(yè)發(fā)展經(jīng)驗,規(guī)劃企業(yè)未來的一次重要的產(chǎn)業(yè)界聚會。

本屆展會上,作為節(jié)能環(huán)保、新一代信息技術(shù)產(chǎn)業(yè)、新能源、新能源汽車等21世紀(jì)戰(zhàn)略性新興產(chǎn)業(yè)核心和基礎(chǔ)的集成電路產(chǎn)業(yè)的企事業(yè)單位踴躍參展,半導(dǎo)體分立器件、半導(dǎo)體光電器件、半導(dǎo)體傳感器件等“大半導(dǎo)體產(chǎn)業(yè)”相關(guān)的一些國內(nèi)外企業(yè)也都在展會上一展風(fēng)采,成為了一屆名副其實中國國際半導(dǎo)體博覽會。

“特”,為了成功搭建半導(dǎo)體技術(shù)溝通、交流的平臺,展會的主辦單位全力以赴做好展會的宣傳組織工作,努力為參展企業(yè)提供更好的服務(wù);各地方協(xié)會、產(chǎn)業(yè)基地和產(chǎn)業(yè)聯(lián)盟也積極地參加到參展的組織工作中來。深圳、成都、無錫、西安、濟(jì)南等產(chǎn)業(yè)基地,北京、上海、深圳、廣州、浙江、蘇州等半導(dǎo)體(集成電路)行業(yè)協(xié)會,封裝測試產(chǎn)業(yè)聯(lián)盟、沈陽裝備基地等都組團(tuán)參展,這樣既充分展示地方的產(chǎn)業(yè)發(fā)展總體狀況,也突出了行業(yè)中重點企業(yè)發(fā)展愿景。使與會者在企業(yè)發(fā)展、產(chǎn)業(yè)生態(tài)環(huán)境建設(shè)、產(chǎn)業(yè)鏈打造等各個層面上都會有收益。

“多”,參展企業(yè)多,參展企業(yè)參展產(chǎn)品種類多。這次參展企業(yè)包括:設(shè)計企業(yè)中的大唐微電子技術(shù)有限公司、中國華大集成電路設(shè)計集團(tuán)有限公司、展訊通信(上海)有限公司等近70家左右;制造企業(yè)中的中芯國際集成電路制造有限公司、上海華虹NEC電子有限公司、和艦科技(蘇州)有限公司等公司;封裝測試企業(yè)中的江蘇長電科技股份有限公司、南通富士通微電子股份有限公司、天水華天科技股份有限公司等企業(yè);專用設(shè)備、材料企業(yè)中的大連佳峰電子有限公司、格蘭達(dá)技術(shù)(深圳)有限公司、有研半導(dǎo)體材料股份有限公司、寧波江豐電子材料有限公司等;分立器件有電子科技集團(tuán)13所、天津中環(huán)半導(dǎo)體股份有限公司、晶方半導(dǎo)體科技(蘇州)有限公司等企業(yè)。東京精密設(shè)備(上海)有限公司、迪斯科科技咨詢(上海)有限公司、蘇州住友電木有限公司等外資企業(yè)也報名參展。本屆展會特裝展臺占展覽面積四分之三左右。

另外,展會將中國高校集成電路產(chǎn)學(xué)研成果展區(qū)與集成電路科普教育體驗區(qū)相結(jié)合。中國高校集成電路產(chǎn)學(xué)研成果展區(qū),不僅為高校提供了一個展示自我的舞臺,同時也為企業(yè)與高校之間架起了一座溝通的橋梁。該展示區(qū)同時還設(shè)立集成電路科普教育體驗區(qū),讓觀眾了解一粒粒沙子到一個個現(xiàn)代化的高科技產(chǎn)品的神奇復(fù)雜的演變過程,開啟人們通往集成電路世界的大門,通過人機(jī)互動,增強(qiáng)觀眾對集成電路的認(rèn)識。

IC China 2010高峰論壇、研討會議題圍繞“創(chuàng)新、整合、發(fā)展”,主題突出。

主辦方將邀請工信部領(lǐng)導(dǎo)在高峰論壇對集成電路產(chǎn)業(yè)的“十二五”規(guī)劃(發(fā)展戰(zhàn)略)進(jìn)行解讀。

美國半導(dǎo)體行業(yè)協(xié)會總裁、中芯國際、愛德萬、東京精密、南車時代電器股份有限公司、新思科技等知名半導(dǎo)體企業(yè)高管出席了高峰論壇,作精彩演講。美國半導(dǎo)體行業(yè)協(xié)會演講內(nèi)容為美國半導(dǎo)體產(chǎn)業(yè)的創(chuàng)新與產(chǎn)業(yè)發(fā)展;企業(yè)嘉賓的演講從全球產(chǎn)業(yè)發(fā)展與企業(yè)發(fā)展等方面展示他們企業(yè)的成功經(jīng)驗和產(chǎn)業(yè)的發(fā)展前景。國家集成電路設(shè)計深圳產(chǎn)業(yè)化基地周生明主任演講的題目為“創(chuàng)新、方案整合、系統(tǒng)集成――深圳集成電路設(shè)計發(fā)展啟示”。

精心策劃和安排的7場專題研討會,題目鮮明、熱點突出、內(nèi)容豐富。

一、“核高基”國家科技重大專項實施專家組承辦的“成長中的中國集成電路設(shè)計業(yè):機(jī)遇與挑戰(zhàn)”專題研討會,邀請了賽迪顧問、清華大學(xué)、重郵信科、杭州中天、中芯國際、山東華芯等業(yè)界知名咨詢機(jī)構(gòu)、著名高等學(xué)府和重點企業(yè)的專家、學(xué)者、高管就中國集成電路設(shè)計業(yè)發(fā)展前景、微電子技術(shù)發(fā)展與綠色經(jīng)濟(jì)、國產(chǎn)嵌入式CPU的發(fā)展與服務(wù)策略、TD核心芯片發(fā)展策略、存儲器產(chǎn)業(yè)的初步實踐和思考等產(chǎn)業(yè)界發(fā)展的前沿重大課題、共同探討中國集成電路設(shè)計業(yè)的機(jī)遇與挑戰(zhàn)。

二、“中國集成電路封測產(chǎn)業(yè)鏈技術(shù)創(chuàng)新聯(lián)盟”2009年在北京成立。這個聯(lián)盟涉足我國集成電路封測領(lǐng)域的制造、裝備、材料及相關(guān)科研與教學(xué)的25家單位。該聯(lián)盟以“極大規(guī)模集成電路制造裝備及成套工藝”國家科技重大專項(即“02專項”)中的相關(guān)創(chuàng)新課題為技術(shù)驅(qū)動平臺和紐帶,依托其成員單位的人才、技術(shù)和市場資源,推動我國集成電路封測產(chǎn)業(yè)鏈關(guān)鍵技術(shù)進(jìn)步與重大科技產(chǎn)品的創(chuàng)新。聯(lián)盟不僅組織成員及相關(guān)單位參加了IC China 2010 的重大專項裝備專區(qū),同時將參加“中國半導(dǎo)體裝備、材料與制造工藝研討會暨第十三屆中國半導(dǎo)體行業(yè)集成電路分會、支撐業(yè)分會年會、江蘇省半導(dǎo)體行業(yè)協(xié)會年會”。國家科技重大專項“極大規(guī)模集成電路制造裝備及成套工藝”總體組組長、華潤微電子有限公司董事長、有研半導(dǎo)體材料股份有限公司董事長、南通富士通電子股份有限公司總經(jīng)理及多家企業(yè)高管將在研討會上發(fā)表精彩演講。

三、半導(dǎo)體分立器件是半導(dǎo)體產(chǎn)業(yè)重要的組成部分,發(fā)揮著越來越大的作用。新型電力電子器件、模塊和應(yīng)用,更是業(yè)界特別關(guān)注的領(lǐng)域,對高效節(jié)能、綠色環(huán)保起著非常重要的作用。在中國半導(dǎo)體行業(yè)協(xié)會分立器件分會承辦的“電力電子與低碳經(jīng)濟(jì)”研討會上,江光、蘇州固锝、電子科技集團(tuán)第55研究所、河北普興、深圳深愛以及成都電子科技大學(xué)等單位的高管、專家就新型電力電子器件、綠色高效電源、電源管理集成電路等領(lǐng)域的技術(shù)創(chuàng)新成果、應(yīng)用開發(fā)實例、市場發(fā)展遠(yuǎn)景、產(chǎn)業(yè)規(guī)劃建議等方面進(jìn)行充分交流,共圖我國電力電子技術(shù)的新發(fā)展。

四、“知識產(chǎn)權(quán)”狀況是企業(yè)競爭力的表現(xiàn),是創(chuàng)新型國家的重要標(biāo)志。多年來我國企業(yè)在知識產(chǎn)權(quán)工作方面取得了很大成績,但進(jìn)一步加強(qiáng)知識產(chǎn)權(quán)管理,推動知識產(chǎn)權(quán)資本化運作尚有許多工作要開展。知識產(chǎn)權(quán)的資本運作,有利于企業(yè)盤活存量資產(chǎn),實現(xiàn)知識產(chǎn)權(quán)資產(chǎn)的價值型管理和優(yōu)化重組,進(jìn)而促進(jìn)資源的科學(xué)配置與有效流動,實現(xiàn)資源配置的優(yōu)化,有力地推動了產(chǎn)業(yè)發(fā)展。上海硅知識產(chǎn)權(quán)交易中心有限公司承辦的“知識產(chǎn)權(quán)與資本運作”研討會邀請了國內(nèi)外投資機(jī)構(gòu)、律師、中介機(jī)構(gòu)等專業(yè)人士,從專利交易與資本運作的模式、法律問題、資產(chǎn)評估等不同角度深入探討,以期對國內(nèi)業(yè)界有所幫助。

五、越來越多的IC設(shè)計企業(yè)已經(jīng)認(rèn)識到分銷商的價值,與分銷商合作,節(jié)省了產(chǎn)品開發(fā)成本和縮短產(chǎn)品入市時間,也能借助分銷商的渠道提高產(chǎn)品知名度和市場份額,實現(xiàn)電路設(shè)計企業(yè)、分銷商、整機(jī)系統(tǒng)廠家三贏局面。由深圳華強(qiáng)與蘇州市集成電路行業(yè)協(xié)會承辦的“集成電路設(shè)計企業(yè)與市場分銷商研討會”邀請了蘇州周邊地區(qū)的設(shè)計企業(yè)和國內(nèi)眾多優(yōu)秀的分銷商、方案商將齊聚蘇州共同討探未來集成電路市場分銷狀況及市場發(fā)展趨勢。并采用圓桌式“一對一”的方式直接讓設(shè)計企業(yè)與分銷商、方案商面對面交流,有針對性的進(jìn)行合作交流,有意向合作的設(shè)計企業(yè)與分銷商在現(xiàn)場進(jìn)行了意向性預(yù)簽約儀式。

第3篇:大規(guī)模集成電路設(shè)計范文

上海500億元重金“砸”向集成電路產(chǎn)業(yè)

上海市副市長周波近期表示,上海市集成電路產(chǎn)業(yè)基金總規(guī)模為500億元,分為三個基金,政府資金將扮演種子的角色,廣泛吸引社會資金參與。具體來說,就是按“3+1+1”的格局設(shè)立三個行業(yè)基金。最大規(guī)模的是總額300億元的集成電路制造基金,主要用來支持在滬興建新一代超大規(guī)模集成電路生產(chǎn)線,并支持光刻機(jī)、刻蝕機(jī)等核心裝備的國產(chǎn)化。另兩個基金的規(guī)模稍小,都是100億元,一個專注于投資集成電路材料產(chǎn)業(yè),另一個用來并購海內(nèi)外優(yōu)秀的集成電路設(shè)計企業(yè)。

周波表示,上海市集成電路產(chǎn)業(yè)基金將以“市場主導(dǎo)、政府引導(dǎo)”為原則,根據(jù)不同產(chǎn)業(yè)特點,政府資金將發(fā)揮不同的作用。

根據(jù)上海市集成電路行業(yè)協(xié)會的統(tǒng)計,在上海的集成電路產(chǎn)業(yè)中,來自張江高科技園區(qū)的企業(yè)占據(jù)了半壁江山,張江高科技園區(qū)也是我國集成電路產(chǎn)業(yè)最集中、綜合技術(shù)水平最高、產(chǎn)業(yè)鏈相對最為完整的產(chǎn)業(yè)園區(qū)。截至2015年底,協(xié)會中來自張江的企業(yè)已達(dá)170家。上海市集成電路行業(yè)協(xié)會有關(guān)負(fù)責(zé)人接受《中國經(jīng)濟(jì)周刊》記者采訪時表示,上海集成電路產(chǎn)業(yè)基金的設(shè)立對張江高科技園區(qū)的制造、設(shè)計、裝備這三類企業(yè)必將是利好。

此外,早在2015年6月,張江高科(600895.SH)公告稱,其全資子公司2億元認(rèn)繳武岳峰集成電路基金6.67%,成為其有限合伙人。據(jù)了解,武岳峰集成電路基金是在上海自貿(mào)區(qū)內(nèi)設(shè)立的人民幣、美元雙幣股權(quán)投資基金,基金設(shè)立以后,將鎖定全球范圍內(nèi)的集成電路產(chǎn)業(yè)的優(yōu)質(zhì)資源,尋找在美國、歐洲、以色列、日本等國的產(chǎn)業(yè)并購目標(biāo),同步配合與中國國內(nèi)集成電路企業(yè)的整合;該基金主要以并購方式投資國內(nèi)外處于高速成長中后期的企業(yè),或者在境外資本市場上被低估的成熟期企業(yè),通過各種方式整合,并選擇合適的資本退出渠道完成退出。

越來越多的跨國公司將總部放在了張江

在各種政策利好影響下,越來越多的跨國公司將總部選擇在了張江區(qū)域內(nèi)。中國內(nèi)地規(guī)模最大、技術(shù)最先進(jìn)的集成電路代工企業(yè)――中芯國際集成電路制造有限公司(下稱“中芯國際”),于2015年12月出資成立中芯國際控股有限公司(下稱“中芯控股”)。中芯控股已獲上海市政府認(rèn)定為跨國公司地區(qū)總部,將承擔(dān)中芯國際大陸地區(qū)總部管理職能。

《中國經(jīng)濟(jì)周刊》記者從張江高科獲悉,該公司全資子公司上海張江集成電路產(chǎn)業(yè)區(qū)開發(fā)有限公司,已與中芯控股簽訂《收購框架協(xié)議》,將“張東商務(wù)中心”物業(yè)1號樓出售給中芯控股,該樓將作為中芯國際的總部辦公大樓。資料顯示,中芯控股法定代表人為中芯國際首席執(zhí)行官兼執(zhí)行董事邱慈云,注冊資本5000萬美元,是外國法人獨資的有限責(zé)任公司。

公開信息顯示,中芯國際在浦東建有一座300mm晶圓廠和一座200mm超大規(guī)模晶圓廠;在北京建有一座300mm超大規(guī)模晶圓廠,一座控股的300mm先進(jìn)制程晶圓廠正在開發(fā)中;在天津和深圳各建有一座200mm晶圓廠。中芯國際還在美國、歐洲、日本和中國臺灣設(shè)立行銷辦事處,同時在中國香港設(shè)立了代表處。中芯國際透露,根據(jù)目前計劃,上海總部將統(tǒng)籌國內(nèi)各公司運營。

中芯國際首席財務(wù)官兼戰(zhàn)略規(guī)劃執(zhí)行副總裁高永崗表示:“成立地區(qū)總部,是中芯國際戰(zhàn)略發(fā)展的需要。中芯國際起步于上海,選擇上海作為地區(qū)總部有歷史積淀、地緣優(yōu)勢、產(chǎn)業(yè)布局等方面的綜合考慮。中芯控股將充分發(fā)揮總部功能,高效整合企業(yè)內(nèi)外資源,發(fā)揮產(chǎn)業(yè)集聚應(yīng),推動企業(yè)以及中國集成電路產(chǎn)業(yè)的發(fā)展?!?/p>

我國地方性集成電路產(chǎn)業(yè)“大基金”總額已達(dá)1400億元

我國的集成電路產(chǎn)業(yè)和世界先進(jìn)水平仍有較大差距,目前每年進(jìn)口芯片超過2000億美元。為提高集成電路產(chǎn)業(yè)的技術(shù)水平,把握產(chǎn)業(yè)自,過去兩年,國家對集成電路產(chǎn)業(yè)給予了前所未有的重視。2014年6月,國務(wù)院頒布了《國家集成電路產(chǎn)業(yè)發(fā)展推進(jìn)綱要》,成立國家產(chǎn)業(yè)投資基金。這一重大舉措為中國集成電路產(chǎn)業(yè)的發(fā)展?fàn)I造了不可多得的良好發(fā)展環(huán)境。

第4篇:大規(guī)模集成電路設(shè)計范文

對這一切,硬件三人幫的三位同學(xué)盡管是持不同的觀點,但結(jié)論基本是一致的:集成是趨勢,也是未來硬件的發(fā)展方向,但這并不是唯一需要關(guān)注的。

West:要說起來,所有的芯片都有一個學(xué)名:(超)大規(guī)模集成電路。因為分配的功能不同,所以才劃分出負(fù)責(zé)接口輸出的(ICH芯片)、控制各種總線的(MCH芯片)、提供運算能力的(CPU)、進(jìn)行3D加速顯示輸出的(顯卡)。而在整合這一大前提下,越來越多的功能不斷聚集,未來的PC架構(gòu)顯然會越來越簡單。

Alpha:當(dāng)然了,整合最高,實際上你可以看到為何在這個時候集成顯卡才首次集成到CPU上(CPU+顯卡核心同處CPU基板上,構(gòu)造整合,非芯片整合),技術(shù)上、結(jié)構(gòu)上、市場需求上,都需要有所改變。記得2008年AMD提出的融聚未來戰(zhàn)略,其意義不也在于整合嗎?自2000年前后第一波整合風(fēng)潮后,2010年,新一輪的整合又來了。

CT:集成并不一定就意味著低價格,我倒是感興趣它是否真的具備更好的特性,比如說低功耗?據(jù)宣稱PCH芯片才10W功耗,比起原來MCH+ICH的30W要低了不少,CPU看起來比上一代酷睿處理器提高了10W左右,但總體功耗卻在下降,從以這一點看集成的作用還是比較明顯的。

主編觀點:

芯片功能的集成是超大規(guī)模集成電路設(shè)計和制造工藝不斷改進(jìn)的必然趨勢。下一步,Intel公司將會在片上系統(tǒng)(SOC,System On Chip)方面著重發(fā)力。隨之而來的將會是具有目前筆記本電腦同樣性能的手機(jī)、電子書和其他智能設(shè)備。當(dāng)然,我們最關(guān)心的將會是功耗和碳排放問題。隨著氣候全球變暖的趨勢加劇,我們希望企業(yè)在改進(jìn)工藝的同時,更加關(guān)注功耗效能比。

West:不僅如此,新酷睿家族處理器的全新制程工藝,總功耗也因此不斷降低。但是,新上市的酷睿家族處理器價格會比較高,加上配套的芯片組主板,估計CPU+主板的總價要在1500元~2000元左右。說實話對比起來還是偏貴,甚至這會讓人產(chǎn)生一個錯覺:花更多錢買一個節(jié)能有限的產(chǎn)品,值得嗎?

Alpha:沒錯,H55、H57所用的PCH芯片價格竟然要高于P55芯片組傳統(tǒng)的MCH+ICH芯片價格之和,再加上新處理器家族缺乏直接競爭對手,價格一時半會難以降低。不過仔細(xì)算算,初期投資的成本較之前上漲了約15%~20%,但功耗總體也降低了15%左右,應(yīng)該說投資/回報比例基本相當(dāng)。再加上未來必然會降價,到時候就更能體現(xiàn)出節(jié)能型CPU的好處了。

第5篇:大規(guī)模集成電路設(shè)計范文

關(guān)鍵詞:集成電路工藝原理;教學(xué)內(nèi)容;教學(xué)方法

作者簡介:湯乃云(1976-),女,江蘇鹽城人,上海電力學(xué)院電子科學(xué)與技術(shù)系,副教授。(上海?200090)

基金項目:本文系上海自然科學(xué)基金(B10ZR1412400)、上海市科技創(chuàng)新行動計劃地方院校能力建設(shè)項目(10110502200)資助的研究成果。

中圖分類號:G642.0?????文獻(xiàn)標(biāo)識碼:A?????文章編號:1007-0079(2012)29-0046-01

微電子產(chǎn)業(yè)的快速發(fā)展急需大量的高質(zhì)量集成電路人才。優(yōu)秀的集成電路設(shè)計工程師需要具備一定工藝基礎(chǔ),集成電路工藝設(shè)計和操作人員更需要熟悉工藝原理及技術(shù),以便獲得性能優(yōu)越、良率高的集成電路芯片。因此“集成電路工藝原理”是微電子專業(yè)、電子科學(xué)與技術(shù)專業(yè)和其他相關(guān)專業(yè)一門重要的專業(yè)課程,其主要內(nèi)容是介紹VLSI制造的主要工藝方法與原理,培養(yǎng)學(xué)生掌握半導(dǎo)體關(guān)鍵工藝方法及其原理,熟悉集成電路芯片制作的工藝流程,并具有一定工藝設(shè)計及分析、解決工藝問題的能力。課程的實踐性、技術(shù)性很強(qiáng),需要大量的實踐課程作為補(bǔ)充。但是超大規(guī)模集成電路的制造設(shè)備價格昂貴,環(huán)境條件要求苛刻,運轉(zhuǎn)與維護(hù)費用很大,國內(nèi)僅有幾所大學(xué)擁有供科研、教學(xué)用的集成電路工藝線或工藝試驗線,很多高校開設(shè)的實驗課程僅為最基本的半導(dǎo)體平面工藝實驗,僅可以實現(xiàn)氧化、擴(kuò)散、光刻和淀積等單步工藝,而部分學(xué)校僅能開設(shè)工藝原理理論課程。所以,如何在理論教學(xué)的模式下,理論聯(lián)系實踐、提高教學(xué)質(zhì)量,通過課程建設(shè)和教學(xué)改革,改善集成電路工藝原理課程的教學(xué)效果是必要的。如何利用多種可能的方法開展工藝實驗的教學(xué)、加強(qiáng)對本專業(yè)學(xué)生科學(xué)實驗?zāi)芰蛯嶋H工作能力以及專業(yè)素質(zhì)的培養(yǎng)、提高微電子工藝課程的教學(xué)質(zhì)量,是教師所面臨的緊迫問題。

一、循序漸進(jìn),有增有減,科學(xué)安排教學(xué)內(nèi)容

1.選擇優(yōu)秀教材

集成電路的復(fù)雜性一直以指數(shù)增長的速度不斷增加,同時國內(nèi)的集成電路工藝技術(shù)與發(fā)達(dá)國家和地區(qū)差距較大,故首先考慮選用引進(jìn)的優(yōu)秀國外教材。本課程首選教材是國外電子與通信教材系列中美國James D.Plummer著的《硅超大規(guī)模集成電路工藝技術(shù)—理論、實踐與模型》中文翻譯本。這本教材的內(nèi)容豐富、全面介紹了集成電路制造過程中的各工藝步驟;同時技術(shù)先進(jìn),該書包含了集成電路工藝中一些前沿技術(shù),如用于亞0.125μm工藝的最新技術(shù)、淺槽隔離以及雙大馬士革等工藝。另外,該書與其他硅集成電路工藝技術(shù)的教科書相比,具有顯著的兩個優(yōu)點:其一是在書中第一章就介紹了一個完整的工藝過程。在教學(xué)過程中,一開始就對整個芯片的全部制造過程進(jìn)行全面的介紹,有且與學(xué)生正確建立有關(guān)后續(xù)章節(jié)中將要討論的各個不同的特定工藝步驟之間的相互聯(lián)系;其二是貫穿全書的從實際工藝中提取的“活性”成分及工藝設(shè)計模擬實例。這些模擬實例有助于清楚地顯示如氧化層的生長過程、摻雜劑的濃度分布情況或薄膜淀積的厚度等工藝參數(shù)隨著時間推進(jìn)的發(fā)展變化,有助于學(xué)生真正認(rèn)識和理解各種不同工藝步驟之間極其復(fù)雜的相互作用和影響。同時通過對這些模擬工具的學(xué)習(xí)和使用,有助于理論聯(lián)系實際,提高實踐教學(xué)效果。因而本教材是一本全面、先進(jìn)和可讀性強(qiáng)的專業(yè)書籍。

2.科學(xué)安排教學(xué)內(nèi)容

如前所述,本課程的目的是使學(xué)生掌握半導(dǎo)體芯片制造的工藝和基本原理,并具有一定的工藝設(shè)計和分析能力。本課程僅32學(xué)時,而教材分11章,共602頁,所以課堂授課內(nèi)容需要精心選擇。一方面,選擇性地使用教材內(nèi)容。對非關(guān)鍵工藝,如第1章的半導(dǎo)體器件,如PN二極管、雙極型晶體管等知識已經(jīng)在前續(xù)基礎(chǔ)課程“半導(dǎo)體物理2”和“半導(dǎo)體器件3”中詳細(xì)介紹,所以在課堂上不進(jìn)行講授。另一方面,合理安排教材內(nèi)容的講授次序。教材在講授晶片清洗后即進(jìn)入光刻內(nèi)容,考慮工藝流程的順序進(jìn)行教學(xué)更有利于學(xué)生理解,沒有按照教條的章節(jié)順序,教學(xué)內(nèi)容改變?yōu)榘凑涨逑?、氧化、擴(kuò)散、離子注入、光刻、薄膜淀積、刻蝕、后端工藝、工藝集成等順序進(jìn)行。

另一方面,關(guān)注集成電路工藝的最新進(jìn)展,及時將目前先進(jìn)、主流的工藝技術(shù)融入課程教學(xué)中,如在課堂教學(xué)中介紹INTEL公司即將投產(chǎn)的采用了22nm工藝的代號為“Ivy Bridge”的處理器等。同時,積極邀請企業(yè)工程師或?qū)<议_展專題報告,將課程教學(xué)和行業(yè)工藝技術(shù)緊密結(jié)合,提高學(xué)生的積極性及主動性,提高教學(xué)效果。

3.引導(dǎo)自主學(xué)習(xí)

半導(dǎo)體產(chǎn)業(yè)正飛速發(fā)展,需要隨時跟蹤集成電路制造工藝的發(fā)展動態(tài)、技術(shù)前沿以及遇到的挑戰(zhàn),給學(xué)生布置若干集成電路工藝發(fā)展前沿與技術(shù)動態(tài)相關(guān)的專題,讓學(xué)生自行查閱、整理資料,每一專題選派同學(xué)在課堂上給大家講解。例如,在第一章講解集成電路工藝發(fā)展歷史時,要求同學(xué)前往國際半導(dǎo)體產(chǎn)業(yè)規(guī)劃網(wǎng)站,閱讀最新年份的國際半導(dǎo)體技術(shù)發(fā)展路線圖,完成如最小特征指標(biāo)、工作電壓等相關(guān)技術(shù)指數(shù)的整理并作圖說明發(fā)展趨勢等。這樣一方面激發(fā)了學(xué)生的求知欲,另一方面培養(yǎng)學(xué)生自我學(xué)習(xí)提高專業(yè)知識的能力。

二、豐富教學(xué)手段,進(jìn)行多樣化、形象化教學(xué)

第6篇:大規(guī)模集成電路設(shè)計范文

【關(guān)鍵詞】無線電液控制;盾構(gòu)管片拼裝機(jī);無線通信技術(shù)

無線電液控制技術(shù),結(jié)合了電液控制技術(shù)和無線通信技術(shù)的優(yōu)點,可以廣泛應(yīng)用于工程機(jī)械等領(lǐng)域,不但提高工程機(jī)械的自動化程度和可操作性,還改善了操作人員的工作環(huán)境,降低了由于視覺受限制所帶來的誤操作事故。在工程機(jī)械如建筑業(yè)、采礦業(yè)等行業(yè)得到了廣泛應(yīng)用,加快了國家工業(yè)化的進(jìn)程。[1]

一、無線電液控制技術(shù)基本原理

無線電液控制技術(shù)的基本工作原理:首先,無線電液控制系統(tǒng)將操作者或機(jī)器的控制指令進(jìn)行數(shù)字化處理(包括對信號的濾波,A/D轉(zhuǎn)化等處理),變?yōu)橐子谔幚淼臄?shù)字信號;其次,對數(shù)字指令信號進(jìn)行編碼處理;再次,指令信號在經(jīng)發(fā)射系統(tǒng)進(jìn)行數(shù)字調(diào)制后,通過發(fā)射天線以無線電波的方式傳遞給遠(yuǎn)處的接收系統(tǒng)。最后,接收系統(tǒng)通過接收天線把帶控制指令的無線電波接收下來,經(jīng)過解調(diào)和解碼,轉(zhuǎn)換為控制指令,實現(xiàn)對各種類型閥的進(jìn)行控制。

由于無線電液控制技術(shù)在工程機(jī)械領(lǐng)域占有重要地位,它也越來越受到各國的重視,都投入了很多的技術(shù)力量和資金進(jìn)行研究開發(fā)。雖然紅外遙控也可以實現(xiàn)電液控制技術(shù)的遠(yuǎn)程遙控,但是由于紅外遙控存在對工作背景要求高、能耗高、傳輸距離短(一般不會超過10米),且必需在同一直線上,中間不能有任何障礙物以及易受工業(yè)熱輻射影響等缺點,使得無線電液控制技術(shù)成為當(dāng)前研究的主要方向。

二、無線電液控制技術(shù)的研究現(xiàn)狀及趨勢

(一)無線電液控制技術(shù)的研究現(xiàn)狀

最初,遙控電液控制系統(tǒng)都是采用有線遙控方式進(jìn)行的。早在60年代初期,人們就能利用拖纜遙控裝置來控制液壓機(jī)械上的手動、電液多路閥,操作時通過拖纜遙控裝置上的雙向單軸搖桿輸出線性比例信號來控制電液比例多路閥,線控盒搖桿的信號完全能模擬液壓多路閥上手動拉桿的動作。雖然這種方式也可以使操作人員在作業(yè)區(qū)外對機(jī)械設(shè)備進(jìn)行操作控制,但是由于控制信號在電纜線中的衰減,使得遙控的距離有限,同時由于電纜線的存在,影響了操作的靈活性,而且數(shù)米長的電纜經(jīng)常是生產(chǎn)事故中的主要根源。[2]

隨著無線電技術(shù)的成熟,把無線電技術(shù)引入電液控制系統(tǒng)成為了可能。由于無線電液控制技術(shù)是通過無線電波來傳遞控制指令,完全消除了拖纜式遙控裝置所帶來的故障隱患。但是一開始的無線電液控制系統(tǒng)都只能發(fā)射簡單的指令,如:打開/關(guān)閉等指令。進(jìn)入70年代后,隨著大規(guī)模集成電路及專用微處理器的出現(xiàn),開發(fā)出了可靠性更高的手持式無線遙控系統(tǒng)。后來,隨著數(shù)字處理技術(shù)的快速發(fā)展,無線數(shù)字通信技術(shù)的日趨成熟,利用數(shù)字通信技術(shù)的抗干擾能力強(qiáng)、易于對數(shù)字信號進(jìn)行各種處理等等的優(yōu)點,使得遙控系統(tǒng)的抗干擾性能逐步提高,安全性能大大改善;與此同時,模擬集成電路設(shè)計的迅速發(fā)展,各種高精度的模擬/數(shù)字轉(zhuǎn)換器(A/D)和數(shù)字/模擬轉(zhuǎn)換器(D/A)的研制成功,并把他們應(yīng)用到無線電液控制系統(tǒng)中,使得無線電液控制系統(tǒng)不但能夠傳輸開關(guān)信號,也能夠傳輸模擬控制量并且對控制指令有較高分辨能力,也就是說,無線電液控制系統(tǒng)不但能夠控制普通的電磁開關(guān)閥,而且能夠控制比例閥。

轉(zhuǎn)貼于

由于無線電液控制技術(shù)既有電液控制技術(shù)的優(yōu)點,又有無線技術(shù)的優(yōu)點,因此它有著很廣泛的應(yīng)用,特別是在工程機(jī)械領(lǐng)域中。無線電液控制系統(tǒng)的典型應(yīng)用場合如工業(yè)行車、汽車吊、隨車吊、混凝土泵(臂架)車、盾構(gòu)掘進(jìn)機(jī)的管片拼裝機(jī)等。

80年代初,美國Kraft TeleRobtics和約翰·迪爾等公司,相繼開發(fā)出無線遙控系統(tǒng),并應(yīng)用于挖掘機(jī)中,成功推出遙控挖掘機(jī)。其中,比較典型的是約翰·迪爾公司的690CR型遙控挖掘機(jī)。

1983年,日本小松制作所研究開發(fā)了各種工作裝置的微動控制和復(fù)合動作的無線電操縱,并成功改裝PC200-2型液壓挖掘機(jī)。

1987年,德國HBC公司研制成功應(yīng)用于工程機(jī)械領(lǐng)域的工業(yè)無線電遙控裝置。這種遙控裝置采用了先進(jìn)的數(shù)字化通信技術(shù),傳輸?shù)谋壤刂菩盘柊踩?、可靠和實用,并對發(fā)射的指令有很高的分辨率;在接收端使用模擬技術(shù)可以使執(zhí)行機(jī)構(gòu)的加速、減速動作與無線電遙控裝置發(fā)射器上的動作完全成比例,從而實現(xiàn)對執(zhí)行機(jī)構(gòu)的無級控制。利用它,結(jié)合電液比例伺服驅(qū)動機(jī)構(gòu)、液壓比例多路閥和電液比例減壓閥及普通電磁控制開關(guān)閥,就可以實現(xiàn)工程機(jī)械的無線遙控。德國HBC無線電遙控系統(tǒng)采用的比例輸出信號(0-5V/10V、4-20mA、PWM0-2A)可與多個廠家電液多路閥信號匹配,可模擬手動操作方式達(dá)到與液壓控制系統(tǒng)互相間的協(xié)調(diào)。

與國外對無線電液控制技術(shù)的研究應(yīng)用相比較,國內(nèi)則相對比較晚,技術(shù)相對也落后一些。上海寶山鋼鐵公司于1997年引入HBC無線遙控系統(tǒng)、意大利FABERCOM的比例液壓伺服模塊,對黃河工程機(jī)械廠生產(chǎn)的ZY65型履帶式裝載機(jī)進(jìn)行了遙控改造,使其成為一臺遙控裝載機(jī)。

(二)無線電液控制技術(shù)研究趨勢

隨著數(shù)字通信技術(shù)和超大規(guī)模集成電路的高速發(fā)展,把數(shù)字通信技術(shù)和高性能、高集成度的集成電路應(yīng)用到無線電液控制技術(shù)中,使得無線電液控制器的性能更加完善,可靠性更加高。它們都推動著無線電液控制技術(shù)的發(fā)展,具體表現(xiàn)在以下幾個方面:(1)超大規(guī)模集成電路的飛速發(fā)展使無線電液控制器硬件電路的可靠性提高,同時為實現(xiàn)更強(qiáng)大的(下轉(zhuǎn)第152頁)(上接第193頁)功能提供了可能性;(2)數(shù)字通信技術(shù)提高了無線電液控制器的性能;(3)糾錯編碼技術(shù)提高了無線電液控制器的抗干擾能力。

三、無線電液控制技術(shù)在盾構(gòu)管片拼裝機(jī)中的應(yīng)用

盾構(gòu)管片拼裝機(jī)是一六自由度機(jī)械手,由電液比例多路閥控制各個方向執(zhí)行器動作,實現(xiàn)管片的拼裝。利用無線遙控系統(tǒng)控制電液比例多路閥的先導(dǎo)級就可以控制進(jìn)入多路閥的流量。采用電液比例技術(shù)能提高管片機(jī)的拼裝速度,有效地降低工程造價。

四、結(jié)語

由于無線電液比例技術(shù)具有多方面的優(yōu)點,在工程機(jī)械領(lǐng)域得到了廣泛的應(yīng)用。將無線遙控技術(shù)應(yīng)用于盾構(gòu)管片拼裝機(jī)系統(tǒng),將具有重要的工程應(yīng)用意義。 參考文獻(xiàn)

[1] 鄭貴源.無線遙控裝置在工業(yè)控制中的應(yīng)用[J].機(jī)械與電子,1997,(2).

第7篇:大規(guī)模集成電路設(shè)計范文

關(guān)鍵字:摩爾定律;按比例縮小原理;系統(tǒng)級芯片(SOC);IP核

中圖分類號:C96 文獻(xiàn)標(biāo)識碼:A

1概述

1.1微電子芯片的發(fā)展

微電子芯片技術(shù)發(fā)展迄今為止經(jīng)歷了4個階段:小規(guī)模集成電路(SSI)、中規(guī)模集成電路(MSI)、大規(guī)模集成電路(LSI)、超大規(guī)模集成電路(VLSI),即將進(jìn)入第5個階段ELSI。目前,微電子技術(shù)已經(jīng)成為衡量一個國家綜合國力的重要指標(biāo)。而電子芯片(IC) 則是電子技術(shù)的核心部分。

1.2摩爾定律和按比例縮小原理

從1959年問世開始,硅集成電路一直按照摩爾定律在高速發(fā)展。所謂摩爾定律:硅集成電路的按照4年為一代,每代的芯片繼承度要翻兩番、工藝線寬大約縮小30%、IC工作速度提高1.5倍。也就是我們通常表述的每個芯片的晶體管數(shù)量每18個月會翻一番。

而比例縮小原理是晶體管的橫向線寬每三年縮小三分之一,其縱向結(jié)深也隨之按照一定的比例縮小。這個原理指明了晶體管在硅片上增加的技術(shù)線路,20多年來一直有人在探索其他的技術(shù)方法都沒有獲得成功。

比例縮小原理是摩爾定律的充實和支撐。電子芯片的發(fā)展過程一直是按照這個技術(shù)路線發(fā)展到當(dāng)前的甚大規(guī)模集成電路階段的。

2 系統(tǒng)芯片(SOC)

2.1從IC到SOC

加工技術(shù)曾是IC發(fā)展的瓶頸。而電子芯片的集成電路發(fā)展幾乎完全遵循Moore定律,并且國際范圍內(nèi)的芯片設(shè)計和大量加工都按照比例縮小的技術(shù)路線進(jìn)行。于是,越來越多的功能、甚至是一個完整的系統(tǒng)都能夠被嵌入到單個芯片之中。這樣,以前需要由一塊電路板實現(xiàn)的系統(tǒng),現(xiàn)在只需要一只單個芯片就可以完成。電子系統(tǒng)設(shè)計開始向系統(tǒng)級芯片的方向發(fā)展。

2.2 SOC的概念

SOC技術(shù)以超深亞微米工藝和知識產(chǎn)權(quán)核IP復(fù)用技術(shù)為支撐,對微電子技術(shù)及其應(yīng)用領(lǐng)域是一種革命性的變革。SOC可提供更好的性能、更低的功耗、更小的印制板(PCB) 空間和更低的成本,是21世紀(jì)集成電路(IC)技術(shù)應(yīng)用的主流,SOC技術(shù)的研究發(fā)展和應(yīng)用對社會信息化建設(shè)有重大意義。

2.3 SOC的優(yōu)勢

SOC具有以下幾方面的優(yōu)勢,因而創(chuàng)造其產(chǎn)品價值與市場需求,是微電子芯片進(jìn)一步發(fā)展的必然方向。

降低耗電量

減少體積

增加系統(tǒng)功能

提高速度

節(jié)省成本

2.4主要影響SOC的因素

系統(tǒng)級芯片SOC的關(guān)鍵技術(shù)為IP核,但同時也受到半導(dǎo)體工藝的影響。

3 復(fù)用技術(shù)

傳統(tǒng)的IC設(shè)計流程有構(gòu)想、設(shè)計、驗證和實現(xiàn)四個環(huán)節(jié)。其中大部分時間花在設(shè)計和驗證的環(huán)節(jié)中。

只有采用復(fù)用技術(shù)才能較快地完成設(shè)計,保證設(shè)計成功并得到低價的系統(tǒng)級芯片。復(fù)用技術(shù)與過去的積木塊設(shè)計方法(BBC)類似,但是其規(guī)模和范圍比積木塊設(shè)計方法更大、更廣。

3.1 IP核的定義

IP核為知識產(chǎn)權(quán)模塊,可定義為密封在硬件設(shè)計中的可重復(fù)利用的軟件。按其功能也可定義為SOC的基本電路功能塊或內(nèi)核,也稱為系統(tǒng)宏單元,虛擬部件VC或芯核,可由用戶或?qū)S肐C公司或獨立公司開發(fā)。

IP核分為軟核、硬核和固核。

軟核

軟IP核通常在抽象的、較高層次的功能描述,是對設(shè)計的算法級描述或功能級描述。他的特點是靈活性大、可移植性好。但與硬IP相比,可預(yù)測性差,設(shè)計時間長。

硬核

硬IP核的電路布局及其與特定工藝相聯(lián)系的物理版圖是固定的。特點是提供可預(yù)測的性能和快速的設(shè)計,可以被新設(shè)計作為特定的功能模塊直接調(diào)用。

固核

固IP核在軟核基礎(chǔ)上開發(fā),是介于硬IP和軟IP之間的IP,是一種可綜合的P并帶時序信息以及布局布線規(guī)劃的設(shè)計。對SOC的開發(fā)而言,固核具有一定的工藝獨立性,由于在設(shè)計中考慮了時序等關(guān)鍵問題,因此能保證設(shè)計源碼的可綜合性和物理實現(xiàn)效率。

3.2 IP核的特征

由于IP核是被除了設(shè)計它的IP提供者和IC加工廠商之外的第3方使用,而且往往不止是一個系統(tǒng)開發(fā)者使用。因此,IP核必須具有以下特征:

可讀性

這是針對軟核和固核來說的。使用方不能或很少對硬核作進(jìn)一步的設(shè)計優(yōu)化,一般都直接使用。對固核和軟核,使用者需要對芯核進(jìn)行進(jìn)一步的綜合或模擬。因此,必須對調(diào)用的芯核的功能、算法等有比較詳細(xì)的了解,才可能正確使用和充分發(fā)揮芯核的優(yōu)點。

設(shè)計的衍展性和工藝適應(yīng)性。

芯核是經(jīng)過精心設(shè)計、驗證并且優(yōu)化的。芯核一經(jīng)定型就要求其具有一定的應(yīng)用范圍。即針對不同的設(shè)計應(yīng)用,具有一定的適應(yīng)性。當(dāng)芯核被應(yīng)用到不同的領(lǐng)域時,不需要做重大的修改就能方便地使用。

可測性

芯核必須是經(jīng)過測試驗證的。但是,當(dāng)芯核被應(yīng)用到各個具體的設(shè)計中時,除了硬核外,并不是一點改變都沒有。因此,芯核的功能和性能還應(yīng)該被使用方測試。芯核的設(shè)計要求具有可測試性。不僅能對芯核進(jìn)行單獨的測試,還要在芯核應(yīng)用到的系統(tǒng)環(huán)境中進(jìn)行測試。

端口定義標(biāo)準(zhǔn)化

由于芯核是為第三方提供的設(shè)計,而第三方不是唯一的。這就要求芯核的提供者對設(shè)計的端口有一個嚴(yán)格的定義,以不引起二義性為目的。

版權(quán)保護(hù)

芯核設(shè)計中必須考慮知識版權(quán)的保護(hù)問題,保護(hù)技術(shù)可以在芯核的設(shè)計中采用一些加密技術(shù)或在工藝實現(xiàn)時加上保密技術(shù)。

3.3IP核的開發(fā)

上面討論了IP核作為IP提供者和IC加工廠商之外的第3方使用應(yīng)該具備的特征,而對于IP開發(fā)者IP核又應(yīng)具備以下特點:

(1)高的可預(yù)測性

(2)可能達(dá)到的最好性能

(3)根據(jù)需要可靈活重塑

(4)可接受的成本

3.4重用IP核進(jìn)行設(shè)計

含芯核的系統(tǒng)設(shè)計與傳統(tǒng)的系統(tǒng)設(shè)計有兩大方面的不同:一是系統(tǒng)的模塊劃分,二是軟硬件協(xié)同設(shè)計。由于調(diào)用了芯核,使模塊的劃分是按芯核及其支持電路為單元進(jìn)行。而不像過去結(jié)構(gòu)化設(shè)計方法那樣完全按照功能劃分模塊。

軟硬件協(xié)同設(shè)計包括兩方面,協(xié)同仿真和協(xié)同設(shè)計?,F(xiàn)在應(yīng)用得比較多的是協(xié)同仿真。因為,軟件的開發(fā)依賴于硬件的結(jié)構(gòu)和功能,在硬件沒有完全設(shè)計好之前,軟件將很難完全確定。

軟硬件協(xié)同仿真,是將一個HDL語言的軟件仿真器和HDL語言的硬件仿真器結(jié)合起來對SOC系統(tǒng)進(jìn)行仿真。HDL語言的軟件仿真器是運行在工作站平臺上。每個時鐘周期或每當(dāng)有操作發(fā)生時通過工作站的接口與硬件仿真平臺比較結(jié)果。

基于芯核的軟硬件協(xié)同設(shè)計,通常是以一個微處理器核作為硬件系統(tǒng)的核心加上存儲單元。需要開發(fā)的軟件包括:實時操作系統(tǒng)、任務(wù)調(diào)度、任務(wù)間通信和應(yīng)用軟件等等。軟硬件協(xié)同設(shè)計的關(guān)鍵是對軟硬件要實現(xiàn)的功能做一個合適的劃分,并在系統(tǒng)設(shè)計的復(fù)雜度和系統(tǒng)的性能之間達(dá)到最好的平衡。

4機(jī)遇與挑戰(zhàn)

SOC設(shè)計概念的出現(xiàn)給電子系統(tǒng)的設(shè)計帶來諸多優(yōu)點:進(jìn)一步提高了系統(tǒng)性能、大大縮小了系統(tǒng)尺寸;降低了系統(tǒng)造價、更易于編譯、節(jié)能等。SOC設(shè)計概念將極大地促進(jìn)半導(dǎo)體技術(shù)向前發(fā)展,可以說是一個經(jīng)濟(jì)增長點。

而在SOC設(shè)計中大量采用了IP復(fù)用技術(shù),縮短了設(shè)計的周期。目前,很多廠商例如Lattice、Synopsys等公司都提供了免費的IP核以及設(shè)計文檔,在應(yīng)用領(lǐng)域發(fā)展的前景廣闊。在21的集成電路設(shè)計中,IP核是必不可少的。

參考文獻(xiàn)

[1]王誠.P275計算機(jī)組成原理,清華大學(xué)出版社,2002.

[2]徐善鋒,初秀琴等.21世紀(jì)微電子芯片設(shè)計技術(shù)發(fā)展方向,西安電子科技大學(xué),登載于《微電子》39卷.

[3]吳洪江,鄭濱. SOC 的現(xiàn)狀與發(fā)展,半導(dǎo)體情報.

第8篇:大規(guī)模集成電路設(shè)計范文

工業(yè)和信息化部副部長婁勤儉表示,“星光中國芯工程”是我國國家工程產(chǎn)業(yè)化的重大勝利,大大提升了我國信息產(chǎn)業(yè)的核心競爭力。

“星光中國芯”系列數(shù)字多媒體芯片極大提高了集成電路行業(yè)的總體水平,標(biāo)志著中國IC產(chǎn)業(yè)設(shè)計水平已開始步入世界先進(jìn)行列。這是中國芯片設(shè)計行業(yè)發(fā)展歷程上的一個重要里程碑,標(biāo)志著中國電子信息產(chǎn)業(yè)綜合素質(zhì)正在不斷提高,向真正的核心技術(shù)強(qiáng)國邁進(jìn)。

熠熠星光路

“星光1號”成功后,作為全球第1個音頻視頻同體的圖像處理芯片的“星光2號”被惠普、創(chuàng)新科技等所采用;用于移動通訊視頻傳送的“星光3號”,于2002年成為富士通制造的世界第1個手機(jī)控制機(jī)器人的視頻處理核心芯片;移動多媒體芯片“星光4號”被全球第1大CDMA網(wǎng)絡(luò)運營商美國SPRINT運營系統(tǒng)應(yīng)用。支持電腦、移動存儲、數(shù)碼相機(jī)、彩信功能的“星光5號”被中國電信指定為可視通信芯片標(biāo)準(zhǔn)。

“星光”數(shù)字多媒體芯片歷經(jīng)5代技術(shù)更新,在全球累計產(chǎn)銷規(guī)模在2006年已突破1億枚,占全球計算機(jī)圖像輸入芯片60%以上的市場份額;到2008年,“星光移動”手機(jī)多媒體芯片國內(nèi)外累計產(chǎn)銷規(guī)模突破1億枚,取得了顯著的經(jīng)濟(jì)效益和社會效益。市場覆蓋歐、美、日、韓、臺等16個國家和地區(qū),產(chǎn)品廣泛用于電腦視頻、視頻攝像、智能監(jiān)控、彩信手機(jī)等多種行業(yè)。三星、飛利浦、惠普、羅技、創(chuàng)新科技、富士通、NEC、聯(lián)想、波導(dǎo)、同方等國際知名企業(yè)都在大批量采用“星光”芯片。

國際知名品牌的青睞,給中星微帶來巨大的直接經(jīng)濟(jì)利益;更重要的是,“星光”芯片借助這些知名品牌在全世界范圍內(nèi)建立起來的銷售網(wǎng)和影響力,又迅速將自己的影響鋪展和傳播到世界各個角落,從而樹立了自己的強(qiáng)大品牌形象。

2008年12月28日, “星光中國芯工程”十年成果與展望報告會舉辦。 經(jīng)過十年自主創(chuàng)新,“星光中國芯工程”取得了8大核心技術(shù)突破、1500多項國內(nèi)外專利申請、數(shù)億枚芯片的銷售、全球過半的市場占有率、至今無任何知識產(chǎn)權(quán)糾紛等一系列重大科技成果和產(chǎn)業(yè)化成就,推動了我國電子信息產(chǎn)業(yè)從“中國制造”邁向“中國創(chuàng)造”,走出了一條具有中國特色自主創(chuàng)新的新路子。

目前,中星微電子已經(jīng)成功地將“星光中國芯”系列芯片產(chǎn)品推向了國內(nèi)外市場,應(yīng)用于個人電腦、寬帶、移動通訊、信息家電等高速成長的多媒體應(yīng)用領(lǐng)域。中星微電子堅持自主創(chuàng)新,先后突破七大核心技術(shù),申請了千余項國際和國內(nèi)專利,徹底結(jié)束了中國的“無芯時代”,并榮膺2004年度國家科技進(jìn)步一等獎。 2005年11月15日,中星微電子在美國納斯達(dá)克證券市場成功上市,成為第一家在納斯達(dá)克上市的具有自主知識產(chǎn)權(quán)的中國芯片設(shè)計企業(yè)。

技術(shù)產(chǎn)業(yè)化

鄧中翰認(rèn)為,公司的使命是不僅要掌握國際先進(jìn)芯片技術(shù),更要將技術(shù)產(chǎn)業(yè)化。中星微選取的突破口是數(shù)字多媒體芯片這個目前還未有領(lǐng)頭羊的領(lǐng)域。這也使中星微在開發(fā)、摸索的過程中逐步明朗。在這過程中,有一思想始終不變,那就是“越是核心技術(shù)越要與市場結(jié)合,因為它的控制面寬。”

2004年8月,由中星微電子開展的“VMD合作伙伴計劃”在京正式啟動。VMD合作伙伴計劃聯(lián)合了移動多媒體產(chǎn)業(yè)鏈的上下游環(huán)節(jié),對發(fā)展我國移動通信產(chǎn)業(yè)的多媒體應(yīng)用市場,打造移動多媒體應(yīng)用產(chǎn)業(yè)鏈將起到重要作用。

2004年10月,中星微電子作為九家發(fā)起單位之一,與信產(chǎn)部電信研究院、中國移動、中國聯(lián)通、中國電信、中國網(wǎng)通、中國普天、華為、中興共同在京宣告成立移動多媒體技術(shù)聯(lián)盟(英文全稱Mobile Multimedia Technology Alliance ,簡稱 MMTA)。聯(lián)盟的宗旨是整合移動通信多媒體產(chǎn)業(yè)鏈條上的各方力量,推動網(wǎng)絡(luò)、終端和應(yīng)用的創(chuàng)新與規(guī)范,推動行業(yè)研發(fā)與產(chǎn)業(yè)化。

2004年12月,中星微電子宣布針對移動多媒體應(yīng)用領(lǐng)域的“星光移動一號”已誕生并投入市場。2006年11月,中星微電子宣布:中星微新款移動多媒體數(shù)字處理芯片“星光移動五號”已成功應(yīng)用于大唐移動3G測試手機(jī)終端,并已經(jīng)在信息產(chǎn)業(yè)部指定的首批3G試點城市進(jìn)行測試。

星光中國芯

北京市副市長茍仲文說:“星光中國芯工程”為國家重大工程項目積累了核心技術(shù)、創(chuàng)新人才、管理經(jīng)驗,獨有的有中國特色的“國家創(chuàng)投模式+硅谷模式”、全員知識產(chǎn)權(quán)意識、全球化布局、以市場需求為導(dǎo)向堅持企業(yè)創(chuàng)新為主體的創(chuàng)新體制,為中關(guān)村打造“全球創(chuàng)新中心”起到了推動作用。

堅持市場導(dǎo)向,打造創(chuàng)新實體。中星微建立了規(guī)范化的企業(yè)實體,與眾多科研單位和企業(yè)成功合作,將超大規(guī)模集成電路設(shè)計技術(shù)應(yīng)用于PC、手機(jī)、安全監(jiān)控等產(chǎn)業(yè)中,共同推動產(chǎn)業(yè)發(fā)展,形成廣泛、友好的聯(lián)盟。通過有效的融資、上市和國際規(guī)范化的管理,建立起了具有國際先進(jìn)水平的超大規(guī)模集成電路研發(fā)實體。

十年來,中星微成功取得了核心技術(shù)的重大突破。對于芯片設(shè)計這種高技術(shù)行業(yè)來說,沒有創(chuàng)新就會受制于人。堅持自主創(chuàng)新,實現(xiàn)了多媒體數(shù)據(jù)驅(qū)動并行計算技術(shù)、多核異構(gòu)低功耗多媒體處理器架構(gòu)技術(shù)等核心技術(shù)突破,申請了1500多項國內(nèi)外技術(shù)專利,形成了一個完整的數(shù)字多媒體芯片技術(shù)體系,開發(fā)出擁有中國自主知識產(chǎn)權(quán)、具有國際領(lǐng)先水平的“星光”系列數(shù)字多媒體芯片,榮獲“國家科技進(jìn)步一等獎”、“信息產(chǎn)業(yè)重大技術(shù)發(fā)明獎”、“全球半導(dǎo)體設(shè)計協(xié)會年度獎”。

第9篇:大規(guī)模集成電路設(shè)計范文

【關(guān)鍵詞】數(shù)字 FPGA集成 電路驗證

對于數(shù)字集成電路而言,其涉及到的工作都是比較復(fù)雜的,自身的功能也比較多樣,為了在驗證方面獲得較高的提升,必須在驗證指標(biāo)、驗證手段上進(jìn)行優(yōu)化。對于數(shù)字集成電路FPGA驗證而言,其本身就是重要的組成部分,而在參數(shù)的驗證和功能的分析方面,都表現(xiàn)出了一定的復(fù)雜特點,傳統(tǒng)的模式無法滿足現(xiàn)階段的需求。所以,我們要針對數(shù)字集成電路FPGA驗證的特點、目的、要求,完成各項工作的不斷提升。在此,本文主要對數(shù)字集成電路FPGA驗證展開討論。

1 FPGA概述

在數(shù)字集成電路當(dāng)中,F(xiàn)PGA所發(fā)揮的作用是非常積極的,現(xiàn)如今已經(jīng)成為了不可或缺的重要組成部分。從應(yīng)用的角度來分析,F(xiàn)PGA是一種現(xiàn)場編程門陣列,它主要是在可編程器基礎(chǔ)上,進(jìn)一步發(fā)展的產(chǎn)物??删幊唐髦饕≒AL、GAL、CPLD等等。FPGA在具體的應(yīng)用過程中,具有較強(qiáng)的針對性,其主要是作為專用集成電路領(lǐng)域的服務(wù),并且自身所代表的是一種半制定的電路。從客觀的角度來分析,F(xiàn)PGA的出現(xiàn)和應(yīng)用,不僅在很多方面解決了定制電路所表現(xiàn)出的不足,同時又在很大程度上克服了原有的問題,主要是克服了編程器件門電路數(shù)有限的缺點。由此可見,數(shù)字集成電路在應(yīng)用FPGA以后,本身所獲得的進(jìn)步是非常突出的,并且在客觀上和主觀上,均創(chuàng)造了較大的效益,是非常值得肯定的。

2 FPGA器件介紹

隨著數(shù)字集成電路的不斷發(fā)展,F(xiàn)PGA的應(yīng)用效果也越來越突出。目前,關(guān)于數(shù)字集成電路FPGA驗證,業(yè)界內(nèi)展開了大量的討論。對于FPGA驗證而言,需從客觀實際出發(fā)。FPGA器件,是驗證數(shù)字集成電路的主要工具,因此首先要在該方面做出足夠的努力。在芯片流片之前,對數(shù)字集成電路的整體設(shè)計,開展有效的FPGA驗證,能夠針對數(shù)字集成電路的實際工作情況,進(jìn)行深入的了解和分析;針對遇到的問題,可以采取有效的方案來解決,避免造成較大的損失。

相對而言,采用FPGA進(jìn)行驗證的過程中,硬件環(huán)境的標(biāo)準(zhǔn)是比較高的。首先,我們在驗證工作之前,必須設(shè)計出相應(yīng)的PCB板,完成相關(guān)系統(tǒng)的驗證和構(gòu)建。其次,在驗證的過程中,必須充分考慮到成本的問題,與芯片的流片費用相比較,F(xiàn)PGA的驗證成本較低,是主流的選擇。第三,數(shù)字集成電路FPGA驗證過程中,多數(shù)情況是由兩個部分組成的,分別是FPGA和器件。器件主要包括開關(guān)、存儲器、LED、轉(zhuǎn)接頭等等。

數(shù)字集成電路FPGA驗證時,需針對不同的電路實施有效的驗證。例如,在實際工作當(dāng)中,如果是要驗證EPA類型的芯片,必須對成本因素進(jìn)行充分的考量。建議選擇Spartan3 XC3S1500 FPGA進(jìn)行驗證處理。選擇該類型的FPGA,原因在于,其芯片為150萬門級,能夠滿足EPA的客觀需求。同時,在FPGA的利用率方面,超過了90%,各方面均取得較好成果。

3 基于FPGA的驗證環(huán)境

數(shù)字集成電路在目前的發(fā)展中,獲得了社會上廣泛的重視,并且在很多方面都表現(xiàn)出了較強(qiáng)的高端性。為了在FPGA驗證方面取得更多的進(jìn)展,必須針對驗證環(huán)境進(jìn)行深入的分析。本文認(rèn)為,一個比較完整的驗證方案,其在執(zhí)行過程中,必須充分的考慮到芯片的實際工作環(huán)境,考慮到理想的驗證環(huán)境,考慮到二者的具體差別。尤其是在網(wǎng)絡(luò)的工作環(huán)境方面,其包含很多復(fù)雜的數(shù)據(jù)包,將會對最終的驗證造成不利的影響。例如,我們在開展EPA芯片的驗證工作中,可嘗試使用OVM庫類驗證芯片的基本通信系統(tǒng)、功能,再利用FPGA的輔助驗證,與時鐘進(jìn)行同步處理,從而選擇合理的驗證方式,針對數(shù)字集成電路完成比較全方位的驗證,實現(xiàn)客觀工作的較大進(jìn)步。

4 關(guān)于數(shù)字集成電路FPGA驗證的討論

數(shù)字集成電路FPGA的驗證工作,在很多方面都表現(xiàn)出了較高的復(fù)雜性和較強(qiáng)的技術(shù)性,現(xiàn)階段的部分工作雖然得到了較大的進(jìn)步,但也有一些問題,還沒有進(jìn)行充分的解決,這對將來的發(fā)展,會產(chǎn)生一定的威脅和不良影響。例如,F(xiàn)PGA基于查找表結(jié)構(gòu),有固定的設(shè)計約束和要求,以及定義明確的標(biāo)準(zhǔn)功能,而ASIC基于標(biāo)準(zhǔn)單元和宏單元,按照一般IC設(shè)計流程進(jìn)行設(shè)計,并采用標(biāo)準(zhǔn)的工藝線進(jìn)行流片,在設(shè)計時存在的選項以及需要考慮的問題往往比FPGA多很多,所以在將FPGA設(shè)計轉(zhuǎn)化為ASIC設(shè)計時,需要考慮如何轉(zhuǎn)化并了解這些轉(zhuǎn)化可能帶來的相關(guān)風(fēng)險。

5 總結(jié)

本文對數(shù)字集成電路FPGA驗證展開討論,從目前的工作來看,F(xiàn)PGA在驗證過程中,表現(xiàn)出的積極效果還是非常值得肯定的,各項工作均未出現(xiàn)惡性循環(huán)。今后,應(yīng)在數(shù)字集成電路以及FPGA驗證兩方面,開展深入的研究,健全工作體系的同時,加強(qiáng)操作的簡潔性。

參考文獻(xiàn)

[1]陳玉潔,張春.基于EDA平臺的數(shù)字集成電路快速成型系統(tǒng)的設(shè)計[J].實驗技術(shù)與管理,2012,09:101-102+107.

[2]張娓娓,張月平,呂俊霞.常用數(shù)字集成電路的使用常識[J].河北能源職業(yè)技術(shù)學(xué)院學(xué)報,2012,03:65-68.

[3]呂曉春.數(shù)字集成電路設(shè)計理論研究[J]. 就業(yè)與保障,2012,12:32-33.

[4]伍思碩,唐賢健.數(shù)字集成電路的應(yīng)用研究[J].電腦知識與技術(shù),2014,19:4476-4477.

[5]閆露露,王容石子,尹繼武.基于AT89C51的數(shù)字集成電路測試儀的設(shè)計[J].電子質(zhì)量,2010,08:7-9.

作者簡介

于維佳 (1982-),男,廣西壯族自治區(qū)柳州市人。碩士學(xué)位?,F(xiàn)為柳州鐵道職業(yè)技術(shù)學(xué)院講師。研究方向為智能檢測與控制技術(shù)。

作者單位

1.柳州鐵道職業(yè)技術(shù)學(xué)院 廣西壯族自治區(qū)柳州市 545616