公務(wù)員期刊網(wǎng) 精選范文 電路設(shè)計論文范文

電路設(shè)計論文精選(九篇)

前言:一篇好文章的誕生,需要你不斷地搜集資料、整理思路,本站小編為你收集了豐富的電路設(shè)計論文主題范文,僅供參考,歡迎閱讀并收藏。

電路設(shè)計論文

第1篇:電路設(shè)計論文范文

1.1放大器非線性模型當(dāng)放大器工作在非線性區(qū)時,采用Taylor級數(shù)模型,放大器的輸出信號與輸入信號可表述。若k1和k3符號相反,輸出信號的增益會隨著輸入信號功率的增大而減小,即增益壓縮(AM-AM效應(yīng))。同時,輸出信號的相位會隨著輸入功率變化而變化,即相位失真(AM-PM效應(yīng))[6]。對于固態(tài)放大器,k3<0,其非線性特性是增益壓縮,相位擴(kuò)張。預(yù)失真的基本原理即通過二極管或其它電路結(jié)構(gòu)產(chǎn)生與功放相反的非線性特性,從而抵消因功放非線性引起的幅度與相位失真,達(dá)到改善功率放大器線性度的目的,其原理如圖2所示。由于2ω1-ω2、2ω2-ω1兩個頻率分量(三階交調(diào)分量)通常落在帶內(nèi)難以消除,會對系統(tǒng)產(chǎn)生嚴(yán)重的干擾,因此是衡量放大器非線性的一項重要指標(biāo)。

1.2電路設(shè)計在圖1中,輸入信號通過2個3dBLange橋后,分別送入兩個放大器;一般情況下,兩路信號功率相差15dB以上,可保證A1工作在線性狀態(tài)。設(shè)放大器的線性增益為G0,放大器1和2的輸出分別為。為了準(zhǔn)確地擬合主放大器的非線性特性,放大后的誤差信號應(yīng)與主功放的非線性分量相等,即非線性工作的放大器應(yīng)與主放大器工作在相同的功率回退狀態(tài)。功分器和耦合器1均采用相同的3dBLange橋?qū)崿F(xiàn)(δ1=δ2=0.707),整個預(yù)失真電路的增益應(yīng)為0,可以滿足上述要求。結(jié)合(7)、(9)、(10)三式,可以確定耦合器的耦合度和各個衰減器的大小。通過調(diào)節(jié)延時線的長度和微調(diào)衰減器的大小,得到對主放大器線性度較好的改善效果。采用ADS進(jìn)行仿真,G0=25.5dB,衰減器1的衰減量為22dB,衰減器2的衰減量為5.4dB,定向耦合器的耦合度為-16.7dB。耦合器2也選擇Lange橋,不僅簡化了電路的設(shè)計,同時也節(jié)約了版圖面積。

2測試結(jié)果

本設(shè)計采用0.15μmGaAs工藝實現(xiàn),芯片面積為1.9mm×3.0mm,芯片結(jié)構(gòu)如圖3所示。該預(yù)失真單片的中心頻率為21GHz,采用5V電壓供電,直流功耗0.8W。采用矢量網(wǎng)絡(luò)分析儀測試該預(yù)失真電路的增益和相位特性,設(shè)置中心頻率為21GHz,輸入功率掃描范圍為-20~14dBm。測試結(jié)果如圖4所示。該預(yù)失真電路可以提供3dB的增益擴(kuò)張,以及20°以上的相位壓縮。驗證了該芯片可以產(chǎn)生預(yù)失真信號后,將其與功率放大器級聯(lián),測試其對功率放大器線性度的改善情況。測試結(jié)果表明,加入預(yù)失真電路后,功率放大器的P-1從22.2dBm提升至22.8dBm,相位誤差從P-1處20°以上減小至3°以內(nèi),如圖5所示。雖然增益波動最大為-0.4dB,但是該預(yù)失真電路修正了絕大部分的相位誤差,同時一定程度上提高1 dB壓縮點。為了驗證該預(yù)失真電路的線性化效果,進(jìn)一步測試采用中心頻率為21GHz、間隔為10MHz的雙音信號作為輸入信號,比較相同的輸出功率下,加入預(yù)失真芯片前后三階交調(diào)指標(biāo)改善情況,如圖6所示。測試結(jié)果表明,該預(yù)失真芯片對功率放大器三階交調(diào)最高可有27dBc的改善,在功率回退3dB時,可有5dBc的改善。在對功率放大器三階交調(diào)為-30dBc的抑制條件下,驅(qū)動放大器輸出功率從13dBm提高至17.5dBm。但是,五階分量在回退過程中會有一定程度的惡化,如圖7所示。由于流片過程中采用的電容比設(shè)計電容小20%,預(yù)失真電路中功放的特性出現(xiàn)了一定的偏差,導(dǎo)致了幅度修正不平坦、三階分量在回退至小功率時改善效果不明顯,也是五階分量惡化的主要原因。對五階分量改善不好的另一原因是要對高階分量有很好的抑制,需要精確地產(chǎn)生預(yù)失真信號,而產(chǎn)生該信號非常困難,通常的做法是預(yù)失真系統(tǒng)中包含某種反饋以實現(xiàn)自適應(yīng),而這會使電路的復(fù)雜程度增大。為了驗證該預(yù)失真電路的通用性,將該芯片與一高功率放大器(HPA)級聯(lián),進(jìn)行了雙音信號測試,結(jié)果如圖8所示。在功率回退的整個過程中,IM3均有不同程度的改善,在輸出29dBm時可改善15dBc以上,同時五階分量并不會惡化。在-30dBc的抑制條件下,HPA輸出功率可從28dBm提高至33dBm。

3結(jié)論

第2篇:電路設(shè)計論文范文

圖2所示為驅(qū)動電路設(shè)計的方案框圖,電路包含光纖發(fā)送電路、驅(qū)動轉(zhuǎn)接電路、驅(qū)動器三部分。實際應(yīng)用中,IGBT與DSP控制板的安裝位置相距較遠(yuǎn),為了增強(qiáng)抗干擾能力,實現(xiàn)遠(yuǎn)距離傳輸,由DSP控制板發(fā)出的PWM波(電信號)經(jīng)光纖發(fā)送電路轉(zhuǎn)換為光信號再經(jīng)光纖傳至驅(qū)動轉(zhuǎn)接電路。驅(qū)動轉(zhuǎn)接電路將光信號轉(zhuǎn)換為與IGBT驅(qū)動器電平匹配的電信號,送給IGBT的驅(qū)動器。驅(qū)動轉(zhuǎn)接電路要靠近IGBT驅(qū)動器安裝,兩者通過屏蔽排線連接可以增加抗干擾性。驅(qū)動器上反饋的IGBT故障信號沿與上述PWM波傳輸相反的路徑送給DSP控制板,DSP對其處理然后發(fā)出相應(yīng)保護(hù)指令。驅(qū)動器保護(hù)電路負(fù)責(zé)檢測和保護(hù)功率器件,防止意外產(chǎn)生,可立即關(guān)斷器件。

2驅(qū)動電路設(shè)計

2.1光纖發(fā)送電路由DSP發(fā)出的PWM信號先通過RC濾波和施 密特觸發(fā)器整形后送給后面的光纖發(fā)送電路,轉(zhuǎn)換為光信號,如圖3所示。RC低通電路的參數(shù)如圖3所示,截止頻率fp=1/2πR1C1=6.8MHz,可濾除PWM波的高頻干擾,二極管D1、D2將電平鉗位在0V或5V,反相施密特觸發(fā)器74HC14輸出傳遞延遲為幾十ns。二輸入與非門SN75452的目的是為增強(qiáng)驅(qū)動能力。光纖發(fā)送、接受器分別采用AVAGO的HFBR1521和2521,這對組合能實現(xiàn)5MBd的傳輸速率下最大20m的傳輸距離。

2.2驅(qū)動轉(zhuǎn)接電路驅(qū)動轉(zhuǎn)接電路接收光纖傳遞過來的PWM波信號,將光信號轉(zhuǎn)換為電信號,然后分成兩路送給并聯(lián)的兩個IGBT的驅(qū)動器。圖4為驅(qū)動轉(zhuǎn)接電路的部分原理圖。為了防止IGBT直通[7],要求IGBT上、下管驅(qū)動信號不能同時為高電平。驅(qū)動轉(zhuǎn)接電路將輸入的兩路信號PWM-A,PWM-B(對應(yīng)IGBT上、下管驅(qū)動信號,低電平有效)其中一路信號做“非”處理然后與另一路信號做“與非”處理,這樣,當(dāng)驅(qū)動轉(zhuǎn)接電路輸入的兩路PWM信號同時為低電平時,驅(qū)動轉(zhuǎn)接電路輸出PWM信號為低電平(高電平有效),IG-BT上、下管均關(guān)斷而不會直通。IGBT發(fā)生故障時,如過流、短路和驅(qū)動器電源欠壓等,驅(qū)動器會反饋故障信號給驅(qū)動轉(zhuǎn)接電路(圖4中的SO1、SO2)。在驅(qū)動轉(zhuǎn)接電路中將PWM信號與IGBT故障反饋信號SO(低電平有效)做“與”處理,這樣當(dāng)驅(qū)動器檢測到IGBT故障時,驅(qū)動轉(zhuǎn)接電路會封鎖PWM信號輸出(輸出低電平),及時關(guān)斷IGBT。同時故障信號經(jīng)驅(qū)動轉(zhuǎn)接電路、光纖發(fā)送電路反饋給DSP,DSP對其處理后發(fā)出相應(yīng)保護(hù)指令。

2.3驅(qū)動器電路

2.3.1輸入信號處理2SP0320T2A0是基于CONCEPT公司的SCALE-2芯片組的驅(qū)動器。該驅(qū)動器采用脈沖變壓器隔離,通過磁隔離把信號傳到高壓側(cè)。根據(jù)脈沖變壓器一次側(cè)二次側(cè),芯片分為原方和副方。原方芯片有兩個重要的特點:①芯片帶寬很高,可以響應(yīng)極高頻的信號;②芯片的兩個脈沖信號INA、INB輸入跳變電平比較低,雖具有施密特特性,可是若噪聲超過這個數(shù)值,驅(qū)動器也能響應(yīng)。在SCALE-2輸入芯片中,一般不使用窄脈沖抑制電路。但是若驅(qū)動器前端脈沖信號進(jìn)行長線傳輸時,鑒于上述噪聲干擾,窄脈沖抑制電路非常必要,然后再經(jīng)施密特觸發(fā)器CD40106,可將信號跳沿變得陡峭。門電路要就近接入INA、INB腳,如圖5所示。為提高抗干擾能力可以在接收端放置一數(shù)值較小的下拉電阻,為提高輸入信號的信噪比則可在輸入側(cè)配置電阻分壓網(wǎng)絡(luò)提高輸入側(cè)的跳變門檻,例如本來輸入電壓門檻分別為2.6V和1.3V經(jīng)電阻R1=3.3kΩ和R2=1kΩ提高到了11.18V和5.59V。

2.3.2報錯信號的處理報錯信號SO管腳直接連到ASIC中,其內(nèi)部為漏極開路電路,對噪聲比較敏感,且連線越長,對噪聲越敏感。對SO信號的處理有以下的方法:(1)SO信號必須有明確電位,最好就近上拉;(2)SO信號經(jīng)過長線傳輸時,可以配合門電路,提高電壓信號抗干擾能力,且接收端配合阻抗合適的下拉電阻;(3)SO接10Ω小電阻,再用肖特基二極管做上下箝位保護(hù),控制器端用電阻上拉。如圖6所示對應(yīng)上述的第2種,虛線表長線傳輸。

2.3.3IGBT短路保護(hù)當(dāng)IGBT發(fā)生短路時,短路電流會在短時間內(nèi)圖6報錯信號處理達(dá)到額定電流的5倍~6倍[8],此時必須關(guān)斷IG-BT。否則會造成IGBT不可恢復(fù)的損壞,因此為保護(hù)功率器件,需要設(shè)計保護(hù)電路。短路檢測一般用電阻或者二極管,檢測功率器件C、E的飽和壓降,圖7則為二極管檢測電路,當(dāng)IGBT發(fā)生短路時,集電極電位上升,二極管截止,VISO通過R向C充電至參考電位,相應(yīng)的比較器輸出翻轉(zhuǎn),從而檢測到短路狀態(tài)。式中:VGLX為驅(qū)動器的關(guān)斷電壓,2SP0320T-2A0關(guān)斷電壓為-10V,C的值推薦在100pF~1nF,R的值推薦在24kΩ~62kΩ。驅(qū)動器短路保護(hù)原理如圖8所示(由電阻Rvce檢測短路)。其中VISO、VE、COM是由芯片內(nèi)部將副邊輸出25V電源處理出來的端口。VISO、VE之間15V,是穩(wěn)壓的,COM、VE之間-10V,是不穩(wěn)的。當(dāng)IGBT導(dǎo)通時,B點電位從-10V開始上升(內(nèi)部mosfet將B點電位箝在-10V),IGBT集電極電位開始下降至Vcesat(2V左右),最終B點電位也達(dá)到Vcesat;當(dāng)IGBT短路后,IGBT會退出飽和區(qū),此時A點電位(集電極)會迅速上升到直流母線電壓,A點通過電阻向B點充電,由二極管鉗位,B點電壓在15V左右。經(jīng)過一段時間后(極短的時間),B點電位上升到參考電壓C點,比較器翻轉(zhuǎn),IGBT被關(guān)斷。參考電壓通過電阻R2來設(shè)置,VREF=150μA•R2。由于密勒電容的存在,當(dāng)IGBT短路時,門極電位會被抬升,相應(yīng)短路電流會增大。門極鉗位電路可以將門極電位鉗住,以確保短路電流不會超過規(guī)定的范圍,一般有倆種方法:①G和E之間接一個雙向的TVS。②門極直接接一個肖特基二極管將門極鉗位在15V。IGBT發(fā)生短路時,此時關(guān)斷管子di/dt會很大,電路中的雜散電感會感應(yīng)出很高的尖峰電壓或較大的dv/dt,關(guān)斷過壓值可通過Vtr=Lsdi/dt計算,Ls表雜散電感,這些都可能損壞IGBT。有源鉗位電路[9]則可以鉗住IGBT的集電極電位,當(dāng)集電極-發(fā)射極電壓超過閾值時,部分打開IGBT,從而令集射電壓得到抑制。有源鉗位電路一般在發(fā)生故障時才會動作,正常時不動作,因為在器件正常關(guān)斷時產(chǎn)生電壓尖峰不太高,但過載和發(fā)生短路時,此時關(guān)斷管子會產(chǎn)生非常高的電壓尖峰。最基本的有源鉗位電路,只需要TVS管和普通快恢復(fù)二極管即可構(gòu)成,但存在TVS管功耗大和鉗位效果不好等缺點,基于SCALE-2設(shè)計的AdvancedActiveClamping電路改進(jìn)了這些缺陷,鉗位的準(zhǔn)度及電路的有效性大大提高,可參考文獻(xiàn)[10]。

3實驗波形與分析

將設(shè)計出IGBT驅(qū)動電路應(yīng)用在前面所述500kW光伏逆變器上。我們用示波器分別測量一路PWM信號光纖發(fā)送板的輸出波形和光纖轉(zhuǎn)接板的輸入波形,如圖9(a)所示,測量光纖轉(zhuǎn)接板輸出波形和IGBT驅(qū)動器輸出波形,如圖9(b)所示。同一橋臂上下管的驅(qū)動信號如圖9(c)所示??梢钥闯觯擈?qū)動電路信號傳輸延遲小,跳沿陡峭,信號無失真,說明其抗干擾能力強(qiáng)。上下管的脈沖之間明顯有一死區(qū)時間,可防止橋臂直通。采用了該驅(qū)動電路的500kW光伏逆變器運行狀況良好。我們測量了其約80%負(fù)載時并網(wǎng)電流波形,如圖9(d)所示,電流波形為光滑正弦波,總諧波畸變率THD<2%。

4結(jié)論

第3篇:電路設(shè)計論文范文

前導(dǎo)0計數(shù)器電路實現(xiàn)的功能:從數(shù)據(jù)的高位往低位計算連續(xù)0的個數(shù),若出現(xiàn)1,則停止計數(shù).

1.1設(shè)計理論本文設(shè)計一個108位前導(dǎo)0計數(shù)器電路,采用2位分組的并行計數(shù)算法,電路設(shè)計原理如下:如圖2所示,前導(dǎo)0計數(shù)電路將數(shù)據(jù)位寬平分為高半位和低半位兩個部分,然后分別對兩部分前導(dǎo)0個數(shù)進(jìn)行計算,在下一級計數(shù)邏輯對上面兩個計數(shù)器結(jié)果進(jìn)行匯總.當(dāng)n=2時,相當(dāng)于4位前導(dǎo)0計數(shù)電路;當(dāng)n>2時,相當(dāng)于2n位前導(dǎo)0計數(shù)電路.

1.24位前導(dǎo)0電路設(shè)計如圖3所示,Count[1:0]可以表示Data[3:0]不全為0時前導(dǎo)0個數(shù);當(dāng)Data[3:0]全為0時,前導(dǎo)0的個數(shù)為4,Count[1:0]最多也只能表示3,因此需要Z信號作為Count的拓展位[4].當(dāng)Data[3:0]全為0時,前導(dǎo)0個數(shù)是4,拓展位Z=1,count[1:0]=2′b00,Z與Count[1:0]組成3位二進(jìn)制計數(shù)值,為3′b100,正好可以表示Data[3:0]全為0時前導(dǎo)0的個數(shù)4.

1.38位前導(dǎo)0電路設(shè)計8位前導(dǎo)0電路是在兩個4位前導(dǎo)0得出的計數(shù)結(jié)果后再做一次選擇,對前面兩個4位前導(dǎo)0的計數(shù)結(jié)果進(jìn)行匯總.8位前導(dǎo)0的電路結(jié)構(gòu)如圖4所示.圖4中,左上方電路計算高4位前導(dǎo)0個數(shù),右上方電路計算低4位前導(dǎo)0個數(shù).當(dāng)高4位全為0時,則需將高4位前導(dǎo)0個數(shù)與低4位前導(dǎo)0個數(shù)相加;當(dāng)高4位不全為0,則只需輸出高4位前導(dǎo)0個數(shù)即可.當(dāng)Data[7:0]不全為0,Count[2:0]即可表示前導(dǎo)0的個數(shù);當(dāng)Data[7:0]全為0,則Count[2:0]=3’b0,Z=1,構(gòu)成二進(jìn)制1000可以表示成8個0.從8位前導(dǎo)0電路結(jié)構(gòu),再結(jié)合4位前導(dǎo)0電路結(jié)構(gòu),由此找出前導(dǎo)0電路設(shè)計規(guī)律,為108位前導(dǎo)0電路設(shè)計提供結(jié)構(gòu)的拓展.將8位前導(dǎo)0電路結(jié)構(gòu)進(jìn)行模塊層次化,如圖5所示.圖5所示,淺灰色模塊(四端口模塊)是1個NR2D和1個INVD,深灰色模塊(三端口模塊)是1個AN2D,上一級的白色模塊是3個MUX2D,下一級白色模塊(五端口模塊)是5個MUX2D.在大位寬前導(dǎo)0電路設(shè)計中,每向下增加一級模塊,模塊的個數(shù)就會增加一倍,白色模塊的MUX2D就會增加2個,淺灰色和深灰色模塊的邏輯單元不變.

1.4108前導(dǎo)0電路設(shè)計將64位、32位和12位這三個前導(dǎo)0電路進(jìn)行拼接,組成的108位前導(dǎo)0電路結(jié)構(gòu)如圖6所示.如圖6所示,從上到下分別是第一級模塊、第二級模塊、第三級模塊、第四級模塊、第五級模塊、第六級模塊、第七級模塊.各個模塊的內(nèi)部邏輯電路如圖7所示,其中白色模塊n(n≥2)是指模塊的級數(shù)。

2電路優(yōu)化

2.1Z信號樹邏輯優(yōu)化圖6中深灰色模塊(三端口模塊)是Z信號樹邏輯模塊,Z信號樹經(jīng)過優(yōu)化之后如圖8所示.

2.2Count樹邏輯優(yōu)化圖6中白色模塊(五端口模塊)構(gòu)成Count樹,Count樹由MUX2D邏輯單元構(gòu)成.由于MUX2D標(biāo)準(zhǔn)單元存在傳輸管,導(dǎo)致標(biāo)準(zhǔn)單元延時大,以及單元驅(qū)動能力弱的情況[5].因此需要將傳輸管邏輯單元優(yōu)化成速度快、穩(wěn)定性好的CMOS互補(bǔ)邏輯單元。將MUX2D傳輸管邏輯單元通過邏輯換算,使之成為互補(bǔ)的CMOS邏輯單元,可以有效提高Count樹的計算速度和穩(wěn)定性.根據(jù)Count樹中白色模塊(五端口模塊)所處的模塊級數(shù),分奇偶兩種情況分別進(jìn)行邏輯換算和重組,優(yōu)化之后的邏輯結(jié)構(gòu)如圖9所示.從圖9發(fā)現(xiàn),優(yōu)化后的邏輯電路中有反相器存在,并且隨著模塊級數(shù)增加,反相器個數(shù)也在增加.因此有必要將反相器提取出來,以一個大尺寸的反相器來代替這些分散的反相器,這樣既可以滿足驅(qū)動的需要,也可以用來減少面積.于是進(jìn)一步優(yōu)化之后的電路結(jié)構(gòu)如圖10所示.

2.3單元尺寸優(yōu)化在同一級有關(guān)聯(lián)的相鄰兩個模塊,由于扇出不同造成負(fù)載不一樣,因而不同模塊內(nèi)部單元尺寸的調(diào)整順序也不一樣.108位前導(dǎo)0電路邏輯單元尺寸調(diào)整的順序如圖11所示.從圖11可以看出,首先優(yōu)化第1條路徑的尺寸,按照阿拉伯?dāng)?shù)字依次增大的順序,依次進(jìn)行不同路徑的模塊單元尺寸調(diào)整,最后優(yōu)化第13條路徑.每條路徑都是順著箭頭的方向,對各個模塊依次進(jìn)行單元尺寸的調(diào)整.

3性能比較

在108位前導(dǎo)0電路設(shè)計完成過后,提取電路設(shè)計的網(wǎng)表進(jìn)行PT分析,通過PT分析獲得到時序和面積結(jié)果.然后分別與傳統(tǒng)前導(dǎo)0計數(shù)器的RTL級代碼[6]進(jìn)行DC綜合的結(jié)果,以及8位分組的RTL級代碼進(jìn)行DC綜合的結(jié)果進(jìn)行比較,如表1所示.通過比較發(fā)現(xiàn),傳統(tǒng)前導(dǎo)0的RTL級代碼進(jìn)行DC綜合的時序和面積都太大,相對而言8位分組前導(dǎo)0的RTL級代碼進(jìn)行DC綜合的時序卻要比它要好得多,這也是當(dāng)前一直使用8位分組前導(dǎo)0的RTL級代碼的原因.然而本文設(shè)計的2位分組的108位前導(dǎo)0電路,進(jìn)行PT分析的時序比8位分組DC綜合的時序少了19%,但面積卻比8位分組的差了20%.由于計數(shù)器的運算速度對浮點加法的運算是至關(guān)重要的,在面積相差不大的情況下這個電路設(shè)計仍然是非常成功的.

4結(jié)束語

第4篇:電路設(shè)計論文范文

通常的Serdes發(fā)送器由PLL電路、MUX電路以及驅(qū)動器電路構(gòu)成。PLL電路用來產(chǎn)生符合協(xié)議要求的時鐘頻率;MUX電路用來將多位并行輸入數(shù)據(jù)轉(zhuǎn)換成一位串行輸出數(shù)據(jù),控制Driver電路;Driver電路用來將MUX電路的串行輸出數(shù)據(jù)轉(zhuǎn)化成符合協(xié)議電氣要求的差分輸出信號。該結(jié)構(gòu)的缺點在于PLL電路產(chǎn)生的頻率以及Driver電路產(chǎn)生的輸出信號特征僅能符合特定的協(xié)議,針對不同的協(xié)議需要重新設(shè)計PLL電路以及輸出驅(qū)動器電路,電路不具有擴(kuò)展性。包括可以進(jìn)行輸出速率選擇的PLL電路、帶有上升/下降時間控制的MUX電路以及輸出信號幅度可調(diào)的驅(qū)動器電路。針對不同的協(xié)議,通過速率選擇信號設(shè)定PLL電路輸出不同的時鐘頻率,同時通過上升/下降沿速率控制模塊調(diào)整輸出信號的上升/下降沿時間,并通過幅度控制模塊以及預(yù)加重幅度控制模塊調(diào)整輸出信號的幅度,從而滿足不同協(xié)議的相應(yīng)要求。

2.多協(xié)議Serdes發(fā)送器電路設(shè)計

2.1PLL電路

PLL電路用來為數(shù)據(jù)發(fā)送器提供頻率穩(wěn)定的時鐘信號,由鑒頻鑒相器、電荷泵、環(huán)路濾波器、振蕩器、可編程分頻器以及占空比調(diào)整電路構(gòu)成。通過控制信號控制分頻電路的分頻系數(shù),電路可以輸出符合不同協(xié)議要求的時鐘頻率。通過在時鐘信號在上升沿和下降沿對數(shù)據(jù)分別進(jìn)行采樣,可以通過最高數(shù)據(jù)率一半的時鐘頻率來完成數(shù)據(jù)的發(fā)送,但是需要保證時鐘信號的占空比為50%。為了降低成本,本設(shè)計采用了環(huán)形振蕩器VCO電路,同時設(shè)計了占空比調(diào)整電路(DCC)來調(diào)整輸出時鐘信號的占空比。當(dāng)時鐘饋通、電荷注入以及電流源不匹配影響電荷泵時,其影響均可等效為電流源不匹配對電路的影響。

2.2MUX電路

MUX電路用來將輸入的低速并行信號轉(zhuǎn)換為高速串行輸出信號。由于采用了半速時鐘結(jié)構(gòu),MUX電路采用了奇偶序列分別轉(zhuǎn)換成兩路串行數(shù)據(jù)后再合并為一路輸出的方式,分頻后的時鐘信號分別控制兩個5:1的數(shù)據(jù)選擇器,將輸入數(shù)據(jù)按奇偶序列轉(zhuǎn)換為兩路輸出。兩路輸出信號經(jīng)過由clk_m控制的2:1的數(shù)據(jù)選擇器輸出差分?jǐn)?shù)據(jù)信號symdata_m/p。同時,考慮到整體電路中需要實現(xiàn)預(yù)加重功能,差分?jǐn)?shù)據(jù)信號symdata_m/p經(jīng)過延時模塊,延時一個數(shù)據(jù)周期并將數(shù)據(jù)反相,其輸出信號為trdata_m/p。

2.3驅(qū)動器電路

為了適應(yīng)不同協(xié)議對輸出信號的電氣特性要求,本文設(shè)計了包含預(yù)加重幅度控制以及輸出電壓幅度控制功能的驅(qū)動器電路,包含電源模塊、N個預(yù)加重單元以及M-N個輸出幅度調(diào)整單元。電源模塊由運放A2以及驅(qū)動管M1構(gòu)成,用來為驅(qū)動器電路提供穩(wěn)定的電源Vreg,其電壓等于參考電壓Vref。

3.總結(jié)

第5篇:電路設(shè)計論文范文

1.1傳統(tǒng)斜坡補(bǔ)償設(shè)計思想從斜坡補(bǔ)償基本原理可知,在占空比D最大時,需要的補(bǔ)償電流斜率m最大。因此,若將補(bǔ)償電流斜率m固定設(shè)置在占空比最大的對應(yīng)值,保證在最大占空比時系統(tǒng)的穩(wěn)定性,則在全占空比范圍內(nèi),斜坡補(bǔ)償均可使系統(tǒng)穩(wěn)定工作。給出線性斜坡補(bǔ)償?shù)难a(bǔ)償斜率隨占空比變化的關(guān)系如圖3所示。線性補(bǔ)償具有結(jié)構(gòu)簡單、易于實現(xiàn)等優(yōu)點,補(bǔ)償斜坡可以從系統(tǒng)內(nèi)部的振蕩器中得到。由振蕩電路對電容C進(jìn)行充放電即可實現(xiàn)。但振蕩電路一旦確定,其所產(chǎn)生的斜坡將不再變化,由于它在任何占空比下都采用最大補(bǔ)償斜率,所以就會造成小占空比情況下的過補(bǔ)償問題,致使系統(tǒng)響應(yīng)速度變慢,同時也降低了系統(tǒng)的帶載能力,因此只適用于補(bǔ)償精度要求不高的電路。

1.2斜坡補(bǔ)償?shù)碾娐吩O(shè)計圖4是本文提出的斜坡補(bǔ)償具體電路。本文的斜坡補(bǔ)償方法是采用分段線性斜坡補(bǔ)償,當(dāng)占空比<30%時,不進(jìn)行斜坡補(bǔ)償,以消除在小占空比工作時,斜坡補(bǔ)償對系統(tǒng)帶載能力的影響;當(dāng)占空比>30%時,在采樣電壓上疊加斜坡電壓,以消除大占空比工作情況下,電流環(huán)路固有的不穩(wěn)定現(xiàn)象,避免亞諧波震蕩的發(fā)生。圖中,Rsense是采樣電阻,Isense是采樣電感電流,gate信號是功率管的導(dǎo)通信號,虛線框內(nèi)是一個取上升沿電路,對功率管的導(dǎo)通信號取一個上升沿。在功率管剛導(dǎo)通的時候,取沿窄脈沖信號打開開關(guān)管M1、M2,對電容C1、C2兩端電壓置0。比較器comp以及電容C1用于設(shè)定分段線性區(qū)間,文中設(shè)定為30%。由電容特性IT=CU知在T時間范圍內(nèi),由于電容C1端電壓<Vref,比較器comp輸出為高,通過邏輯控制,開關(guān)管M2導(dǎo)通,M5關(guān)斷,電容C2兩端被短路,電容C2上極板開路,電容C2端電壓為0,不進(jìn)行斜坡補(bǔ)償。在固定開關(guān)工作頻率下,通過設(shè)置合適的電壓Vref、電流Iref1以及電容C1的容值,可將時間T設(shè)定在開關(guān)周期的30%,則在時間T內(nèi)不進(jìn)行斜坡補(bǔ)償,從而消除了小占空比下造成的過補(bǔ)償問題。當(dāng)占空比>30%時,需進(jìn)行斜坡補(bǔ)償,此時開關(guān)管M2關(guān)斷,M5導(dǎo)通,Iref2給電容C2充電,產(chǎn)生斜坡電壓,補(bǔ)償?shù)男逼码妷嚎捎嬎?。?dāng)占空比>30%時,通過設(shè)置電流Iref2以及電容C2的容值,采用最大占空比對應(yīng)的斜率進(jìn)行補(bǔ)償,可保證在任意占空比下系統(tǒng)電流環(huán)路的穩(wěn)定性。

2仿真驗證

圖5是本文提出的斜坡補(bǔ)償電路仿真波形圖。圖5中,上圖是功率管的導(dǎo)通信號gate,高電平功率管導(dǎo)通,低電平功率管關(guān)斷;下圖是電容C2兩端的電壓,即是補(bǔ)償?shù)男逼码妷?。由圖可知,在功率管導(dǎo)通期間,在占空比<30%時,沒有補(bǔ)償斜坡電壓,當(dāng)占空比>30%時,有斜坡補(bǔ)償電壓。仿真結(jié)果滿足設(shè)計要求。

3結(jié)束語

第6篇:電路設(shè)計論文范文

關(guān)鍵詞:PCB;無線射頻;RF電路;設(shè)計

1引言

射頻(RF)PCB設(shè)計,在目前公開出版的理論上具有很多不確定性,常被形容為一種“黑色藝術(shù)”。通常情況下,對于微波以下頻段的電路(包括低頻和低頻數(shù)字電路),在全面掌握各類設(shè)計原則前提下的仔細(xì)規(guī)劃是一次性成功設(shè)計的保證。對于微波以上頻段和高頻的PC類數(shù)字電路。則需要2~3個版本的PCB方能保證電路品質(zhì)。而對于微波以上頻段的RF電路.則往往需要更多版本的:PCB設(shè)計并不斷完善,而且是在具備相當(dāng)經(jīng)驗的前提下。由此可知RF電設(shè)計上的困難。

2RF電路設(shè)計的常見問題

2.1數(shù)字電路模塊和模擬電路模塊之間的干擾

如果模擬電路(射頻)和數(shù)字電路單獨工作,可能各自工作良好。但是,一旦將二者放在同一塊電路板上,使用同一個電源一起工作,整個系統(tǒng)很可能就不穩(wěn)定。這主要是因為數(shù)字信號頻繁地在地和正電源(>3V)之間擺動,而且周期特別短,常常是納秒級的。由于較大的振幅和較短的切換時間。使得這些數(shù)字信號包含大量且獨立于切換頻率的高頻成分。在模擬部分,從無線調(diào)諧回路傳到無線設(shè)備接收部分的信號一般小于lμV。因此數(shù)字信號與射頻信號之間的差別會達(dá)到120dB。顯然.如果不能使數(shù)字信號與射頻信號很好地分離。微弱的射頻信號可能遭到破壞,這樣一來,無線設(shè)備工作性能就會惡化,甚至完全不能工作。

2.2供電電源的噪聲干擾

射頻電路對于電源噪聲相當(dāng)敏感,尤其是對毛刺電壓和其他高頻諧波。微控制器會在每個內(nèi)部時鐘周期內(nèi)短時間突然吸人大部分電流,這是由于現(xiàn)代微控制器都采用CMOS工藝制造。因此。假設(shè)一個微控制器以lMHz的內(nèi)部時鐘頻率運行,它將以此頻率從電源提取電流。如果不采取合適的電源去耦.必將引起電源線上的電壓毛刺。如果這些電壓毛刺到達(dá)電路RF部分的電源引腳,嚴(yán)重時可能導(dǎo)致工作失效。

2.3不合理的地線

如果RF電路的地線處理不當(dāng),可能產(chǎn)生一些奇怪的現(xiàn)象。對于數(shù)字電路設(shè)計,即使沒有地線層,大多數(shù)數(shù)字電路功能也表現(xiàn)良好。而在RF頻段,即使一根很短的地線也會如電感器一樣作用。粗略地計算,每毫米長度的電感量約為lnH,433MHz時10toniPCB線路的感抗約27Ω。如果不采用地線層,大多數(shù)地線將會較長,電路將無法具有設(shè)計的特性。

2.4天線對其他模擬電路部分的輻射干擾

在PCB電路設(shè)計中,板上通常還有其他模擬電路。例如,許多電路上都有模,數(shù)轉(zhuǎn)換(ADC)或數(shù)/模轉(zhuǎn)換器(DAC)。射頻發(fā)送器的天線發(fā)出的高頻信號可能會到達(dá)ADC的模擬輸入端。因為任何電路線路都可能如天線一樣發(fā)出或接收RF信號。如果ADC輸入端的處理不合理,RF信號可能在ADC輸入的ESD二極管內(nèi)自激。從而引起ADC偏差。

3RF電路設(shè)計原則及方案

3.1RF布局概念

在設(shè)計RF布局時,必須優(yōu)先滿足以下幾個總原則:

(1)盡可能地把高功率RF放大器(HPA)和低噪音放大器(LNA)隔離開來,簡單地說,就是讓高功率RF發(fā)射電路遠(yuǎn)離低功率RF接收電路:

(2)確保PCB板上高功率區(qū)至少有一整塊地,最好上面沒有過孔,當(dāng)然,銅箔面積越大越好;

(3)電路和電源去耦同樣也極為重要;

(4)RF輸出通常需要遠(yuǎn)離RF輸入;

(5)敏感的模擬信號應(yīng)該盡可能遠(yuǎn)離高速數(shù)字信號和RF信號。

3.2物理分區(qū)和電氣分區(qū)設(shè)計原則

設(shè)計分區(qū)可以分解為物理分區(qū)和電氣分區(qū)。物理分區(qū)主要涉及元器件布局、方向和屏蔽等;電氣分區(qū)可以繼續(xù)分解為電源分配、RF走線、敏感電路和信號以及接地等的分區(qū)。

3.2.1物理分區(qū)原則

(1)元器件位置布局原則。元器件布局是實現(xiàn)一個優(yōu)秀RF設(shè)計的關(guān)鍵.最有效的技術(shù)是首先固定位于RF路徑上的元器件并調(diào)整其方向,以便將RF路徑的長度減到最小,使輸入遠(yuǎn)離輸出。并盡可能遠(yuǎn)地分離高功率電路和低功率電路。

(2)PCB堆疊設(shè)計原則。最有效的電路板堆疊方法是將主接地面(主地)安排在表層下的第二層,并盡可能將RF線布置在表層上。將RF路徑上的過孔尺寸減到最小,這不僅可以減少路徑電感,而且還可以減少主地上的虛焊點,并可減少RF能量泄漏到層疊板內(nèi)其他區(qū)域的機(jī)會。

(3)射頻器件及其RF布線布局原則。在物理空間上,像多級放大器這樣的線性電路通常足以將多個RF區(qū)之間相互隔離開來,但是雙工器、混頻器和中頻放大器/混頻器總是有多個RF/IF信號相互干擾.因此必須小心地將這一影響減到最小。RF與IF跡線應(yīng)盡可能十字交叉,并盡可能在它們之間隔一塊地。正確的RF路徑對整塊PCB的性能非常重要,這就是元器件布局通常在蜂窩電話PCB設(shè)計中占大部分時間的原因。

(4)降低高/低功率器件干擾耦合的設(shè)計原則。在蜂窩電話PCB上,通??梢詫⒌驮胍舴糯笃麟娐贩旁赑CB的某一面,而將高功率放大器放在另一面,并最終通過雙工器把它們在同一面上連接到RF端和基帶處理器端的天線上。要用技巧來確保通孔不會把RF能量從板的一面?zhèn)鬟f到另一面,常用的技術(shù)是在二面都使用盲孔??梢酝ㄟ^將通孔安排在PCB板二面都不受RF干擾的區(qū)域來將通孔的不利影響減到最小。

3.2.2電氣分區(qū)原則

(1)功率傳輸原則。蜂窩電話中大多數(shù)電路的直流電流都相當(dāng)小,因此,布線寬度通常不是問題。不過.必須為高功率放大器的電源單獨設(shè)定一條盡可能寬的大電流線,以將傳輸壓降減到最低。為了避免太多電流損耗,需要采用多個通孔來將電流從某一層傳遞到另一層。

(2)高功率器件的電源去耦。如果不能在高功率放大器的電源引腳端對它進(jìn)行充分的去耦,那么高功率噪聲將會輻射到整塊板上,并帶來多種的問題。高功率放大器的接地相當(dāng)關(guān)鍵,經(jīng)常需要為其設(shè)計一個金屬屏蔽罩。

(3)RF輸入,輸出隔離原則。在大多數(shù)情況下,同樣關(guān)鍵的是確保RF輸出遠(yuǎn)離RF輸入。這也適用于放大器、緩沖器和濾波器。在最壞情況下,如果放大器和緩沖器的輸出以適當(dāng)?shù)南辔缓驼穹答伒剿鼈兊妮斎攵?,那么它們就有可能產(chǎn)生自激振蕩。在最好情況下,它們將能在任何溫度和電壓條件下穩(wěn)定地工作。實際上。它們可能會變得不穩(wěn)定,并將噪音和互調(diào)信號添加到RF信號上。

(4)濾波器輸入,輸出隔離原則。如果射頻信號線不得不從濾波器的輸入端繞回輸出端,那么,這可能會嚴(yán)重?fù)p害濾波器的帶通特性。為了使輸入和輸出良好地隔離。首先必須在濾波器周圍布置一圈地。其次濾波器下層區(qū)域也要布置一塊地,并與圍繞濾波器的主地連接起來。把需要穿過濾波器的信號線盡可能遠(yuǎn)離濾

波器引腳也是個好方法。此外,整塊板上各個地方的接地都要十分小心,否則可能會在不知覺之中引入一條不希望發(fā)生的耦合通道。

(5)數(shù)字電路和模擬電路隔離。在所有PCB設(shè)計中,盡可能將數(shù)字電路遠(yuǎn)離模擬電路是一條總的原則,它同樣適用于RFPCB設(shè)計。公共模擬地和用于屏蔽和隔開信號線的地通常是同等重要的,由于疏忽而引起的設(shè)計更改將可能導(dǎo)致即將完成的設(shè)計又必須推倒重來。同樣應(yīng)使RF線路遠(yuǎn)離模擬線路和一些很關(guān)鍵的數(shù)字信號.所有的RF走線、焊盤和元件周圍應(yīng)盡可能多地填接地銅皮.并盡可能與主地相連。如果RF走線必須穿過信號線,那么盡量在它們之間沿著RF走線布置一層與主地相連的地。如果不可能,一定要保證它們是十字交叉的.這可將容性耦合減到最小,同時盡可能在每根RF走線周圍多布一些地,并把它們連到主地。此外。將并行RF走線之間的距離減到最小可使感性耦合減到最小。

第7篇:電路設(shè)計論文范文

關(guān)鍵詞:無線接收FSKASK頻率合成器TH71101

1概述

TH71101是雙超外差式結(jié)構(gòu)的無線電接收芯片,工作在300~450MHzISM頻段,能與TH7107等芯片配套,實現(xiàn)ISM頻段無線模擬和數(shù)字信號傳輸;內(nèi)部包含一個低噪聲放大器、雙混頻器、壓控振蕩器、PLL合成器、晶體振蕩器等電路。能接收模擬和數(shù)字FSK/FM/ASK信號。FSK數(shù)據(jù)速率可達(dá)40kb/s,ASK數(shù)據(jù)速率達(dá)80kb/s,F(xiàn)M帶寬15kHz;靈敏度111dBm。電源電壓2.5~5.5V,工作電流8.2mA,待機(jī)電流<100nA。適用于ISM(工業(yè)、科學(xué)和醫(yī)學(xué))頻率范圍內(nèi)的各種應(yīng)用,如數(shù)據(jù)通信系統(tǒng)、無鑰匙進(jìn)入系統(tǒng)、遙控遙測系統(tǒng)、安防系統(tǒng)等。

2芯片封裝與引腳功能

TH71101采用LQFP32封裝,各引腳功能如表1所列。

表1TH71101引腳功能

引腳號符號功能

1VEE地

2GAIN-LNA低噪聲放大器(LNA)增益控制

3OUT-LNALNA輸出,連接到外接的LC調(diào)諧回路

4IN-MIX1混頻器1(MIX1)輸入,單端阻抗約33Ω

5VEE地

6IF1P中頻1(IF1)集電極開路輸出

7IF1N中頻1(IF1)集電極開路輸出

8VCC電源輸入

9OUT-MIX2混頻器2(MIX2)輸出,輸出阻抗約330Ω

10VEE地

11IFA中頻放大器(IFA)輸入,輸入阻抗約2.2kΩ

12FBC1連接外接的中頻放大器反饋電容

13FBC2連接外接的中頻放大器反饋電容

14VCC電源輸入

15OUT-IFA中頻放大器輸出

16IN-DEM解調(diào)器(DEMOD)輸入

17VCC電源輸入

18OUT-OA運算放大器(OA)輸出

19OAN運算放大器(OA)負(fù)極輸入

20OAP運算放大器(OA)正極輸入

21RSSIRSSI輸出,輸出阻抗約36kΩ

22VEE地

23OUTPFSK/FM正輸出,輸出阻抗100300kΩ

24OUTNFSK/FM負(fù)輸出,輸出阻抗100300kΩ

25VEE地

26RO基準(zhǔn)振蕩器輸入,外接晶體振蕩器和電容

27VCC電源輸入

28ENRX模式控制輸入

29LF充電泵輸出和壓控振蕩器1(VCO1)控制輸入

30VEE地

31IN-LNALNA輸入,單端阻抗約26Ω

32VCC電源輸入

3芯片內(nèi)部結(jié)構(gòu)與工作原理

TH71101內(nèi)部結(jié)構(gòu)框圖如圖1所示。芯片內(nèi)包含低噪聲放大器(LNA)、兩級混頻器(MIX1、MIX2)、鎖相環(huán)合成器(PLLSynthesizer)、基準(zhǔn)晶體振蕩器(RO)、充電泵(CP)、中頻放大器(IFA)、相頻檢波器(PFD)等電路。

LNA是一個高靈敏度接收射頻信號的共發(fā)、共基放大器?;祛l器1(MIX1)將射頻信號下變頻到中頻1(IF1),混頻器2(MIX2)將中頻信號1下變頻到中斷信號2(IF2),中頻放大器(IFA)放大中頻信號2和限幅中頻信號并產(chǎn)生RSSI信號。相位重合解調(diào)器和混頻器3解調(diào)中頻信號。運算放大器(OA)進(jìn)行數(shù)據(jù)限幅、濾波和ASK檢測。鎖相環(huán)合成器由壓控振蕩器(VCO1)、反饋式分頻器(DIV16和DIV2)、基準(zhǔn)晶體振蕩器(RO)、相頻檢波器(PFD)、充電泵(CP)等電路組成,產(chǎn)生第1級和第2級本振信號LO1和LO2。

圖2FSK接收電路圖

使用TH71101接收器芯片可以組成不同的電路結(jié)構(gòu),以滿足不同的需求。對于FSK/FM接收,在相位重合解調(diào)器中使用IF諧振回路。諧振回路可由陶瓷諧振器或者LC諧振回路組成。對于ASK結(jié)構(gòu),RSSI信號饋送到ASK檢波器,ASK檢波器由OA組成。

圖3ASK接收電路

TH71101采用兩級下變頻。MIX1和MIX2由芯片內(nèi)部的本振信號LO1和LO2驅(qū)動,與射頻前端濾波器共同實現(xiàn)一個高的鏡像抑制,如表2和表3所列。有效的射頻前端濾波是在LNA的前端使用SAW、陶瓷或者LC濾波器,在LNA的輸出使用LC濾波器。

表2基準(zhǔn)頻率fREF、本振頻率fL0、中頻fIF與FRF鏡像抑制關(guān)系

注入類型低端高端

fREF(fRF-fIF)/16fRF+fIF/16

fLO16·fREF16·fREF

fIFfRF-fLOfLO-fRF

fRFimagefRF-2fIFfRF+2fIF

表3在fIF=10.7MHz時,基準(zhǔn)頻率fREF、本振頻率fL0與fRF鏡像抑制的關(guān)系

參數(shù)fRF=315MHzfRF=315MHzfRF=433.6MHzfRF=433.6MHz

低高低高

fREF/MHz19.0187520.3562526.4312527.76875

fLO/MHz304.3325.7422.9444.3

fRFimage/MHz293.6336.4412.2455.0

4應(yīng)用電路設(shè)計

第8篇:電路設(shè)計論文范文

在電路中電容C容抗值Zc=1/2πfC,且容抗隨著頻率f的增大而減小。因此濾波器電路中一個恰當(dāng)?shù)慕拥仉娙軨,可使交流信號中的高頻成分通過電容落地,而低頻成分可以幾乎無損失通過,故將小電容接地等同于設(shè)計一階低通濾波器。在濾波器電路中,多處電容接地設(shè)計等同于多個低通濾波器與原電路組成低通濾波器網(wǎng)絡(luò),在提高截止頻率附近幅頻特性的同時會較好抑制高頻干擾,因而接地優(yōu)化在理論上是可行的。

2濾波器設(shè)計仿真

根據(jù)實踐需要,設(shè)計滿足上級輸出電路阻抗為100Ω、下級輸入電路阻抗為50Ω、截止頻率為5MHz的5階巴特沃斯低通濾波器。普通差分濾波器由于其極點與單端濾波器極點相同,故具有相同的傳遞函數(shù),因而依據(jù)單端濾波器配置的差分結(jié)構(gòu)濾波器能夠滿足指標(biāo)要求。在差分結(jié)構(gòu)形式上進(jìn)行接地優(yōu)化后,由于接地電容具有低通濾波功能,不同電容值C會導(dǎo)致不同頻段幅頻響應(yīng)迅速衰減。圖2~圖5分別為普通差分濾波器與多處接地差分濾波器的配置電路與幅頻特性曲線。由仿真結(jié)果可得,截止頻率為5MHz的多處接地差分濾波器幅頻響應(yīng)在9MHz內(nèi)迅速衰減至-50dB,而后在10MHz處上升為-30dB;而普通濾波器幅頻特性在9MHz處為-20dB,在10MHz處為-22dB。因此,接地優(yōu)化濾波器幅頻特性曲線總于普通差分濾波器幅頻特性曲線形成的包絡(luò)內(nèi),故多處接地達(dá)到了過渡帶變窄與抑制高頻的效果,因而接地優(yōu)化電路設(shè)計通過仿真是可行的。

3實物驗證與分析

由于實際電路與理想條件有一定差異,可能導(dǎo)致實際效果與仿真結(jié)果不符,為驗證接地優(yōu)化差分濾波器,在實際電路中能夠提高截止頻率附近幅頻特性與抑制高頻干擾的能力,將上一節(jié)仿真通過的普通差分濾波器與接地差分濾波器制作成PCB電路,通過矢量網(wǎng)絡(luò)分析儀測試其頻率特性,結(jié)果如圖6~圖9所示。由圖可得,多處接地差分濾波器電路中,由于接地電容相當(dāng)于一階低通濾波器,所以由接地電容與普通差分濾波器組成低通濾波網(wǎng)絡(luò)能夠大幅提高濾波器截止頻率附近幅頻特性。同時,由于容抗Zc=1/2πfC隨f增大而減小,在高頻時幾乎為零,高頻信號可以通過電容落地,故其在高頻抑制能力上大大優(yōu)于普通濾波器。因而接地優(yōu)化在實際電路應(yīng)用中是真實有效的,可以應(yīng)用于抑制高頻信號的低通濾波器中。

4結(jié)論

第9篇:電路設(shè)計論文范文

近幾年來,國內(nèi)的高速電梯控制手段及群控管理方法、速度有著極大的革新。其控制技術(shù)可以歸整為八個發(fā)展階段,司機(jī)控制、按鈕控制、微驅(qū)動平層控制、集選控制、交流雙速控制、直流變壓調(diào)速控制、交流調(diào)速控制、PC-PLC控制;群控管理方法為兩類方式,區(qū)段分配方式及呼叫分配方式,這些技術(shù)使得電梯的群控體系控制特性有了極大改善;各國電梯廠商對于電梯速度也有著很大的競爭,現(xiàn)如今世界上電梯最高速可達(dá)到17.4m/s。

2光電開關(guān)與斯密特觸發(fā)器

2.1光電開關(guān)

大多傳感器電路所選擇的都是槽型光電開關(guān),其一般會利用最標(biāo)準(zhǔn)的U型結(jié)構(gòu),發(fā)射器及接收器在U型槽的兩邊,呈現(xiàn)出一個光軸,在對應(yīng)檢測物通過該槽并隔斷光軸時,這時的光電開關(guān)就出現(xiàn)了開關(guān)量號。以槽式光電開關(guān)來講,其最適宜檢測運行速度較高的物體,其可以很好的分辨出透明及半透明的物體,應(yīng)用安全性較高。因為光電開關(guān)輸出及輸入回路之間是利用電緣絕來實現(xiàn)的,因此其能夠應(yīng)用于眾多的場合中。利用集成電路相關(guān)技術(shù)以及表面安裝工藝制作的新型光電開關(guān)元件,其具有較好的延時性、拓展性、外同步、抗干擾、可靠性、運行區(qū)域穩(wěn)定、自行診斷等諸多智能化功能。該光電開關(guān)屬于脈沖調(diào)制主動式的光電探測體系類電子開關(guān),其主要應(yīng)用的冷光源為紅外光、紅、綠、藍(lán)色光,可以不接觸、無損害、快速將各類固體、液體、透明體、黑體、柔軟體等物質(zhì)控制其對應(yīng)狀態(tài)及動作。

2.2斯密特觸發(fā)器

該傳感器電路運用斯密特觸發(fā)器對相關(guān)電平進(jìn)行轉(zhuǎn)換,便于很好地滿足于傳感器體系測量的精確度,斯密特觸發(fā)器自身有著巧妙的滯后特性數(shù)字化傳送門。其電路閥值電壓為兩個,正向閥值及負(fù)向閥值電壓;雙穩(wěn)態(tài)觸發(fā)器及單穩(wěn)態(tài)觸發(fā)器不相同,斯密特觸發(fā)器整體上是電平觸發(fā)型的電路,并不會依靠周邊較為陡峭脈沖。其屬于閥值開關(guān)電路的一類,輸入級輸出特性容易突變的門電路。該電路設(shè)計為阻隔相關(guān)輸入電壓所存在的微笑變化而導(dǎo)致的輸出電壓變化。斯密特觸發(fā)器對應(yīng)輸出情況轉(zhuǎn)換是由其相關(guān)輸入信號變化而決定的,輸入信號在最低電平提高時,電路狀況變化中輸入的電平及其相關(guān)輸入信號是與高電平處降低中的輸入變化電平不相同的,其對應(yīng)閥值電壓被稱之為正向閥值及負(fù)向閥值電壓。并且,因為斯密特觸發(fā)器之內(nèi)會有相關(guān)正反應(yīng),因此其輸出電壓所對應(yīng)的波形通常較為陡峭。使用斯密特觸發(fā)器不止是可以把周邊轉(zhuǎn)化減緩信號所呈現(xiàn)的波形進(jìn)行一定整形,最終形成邊沿陡峭型矩形波,并且能夠把其互相疊加于矩形波的脈沖高與低處電平噪音合理清除。

3電路模塊設(shè)計及實現(xiàn)

總體傳感器電路模塊呈現(xiàn)為:電梯脫離信號光電開關(guān)觸發(fā)信號觸發(fā)器終端處理元件。在相關(guān)電梯并未脫離緩沖器時,對應(yīng)傳感器有一個小擋板位于槽型光電開關(guān)之間,合理得隔檔LED對三極管的觸發(fā)。在電梯脫離了相關(guān)緩沖器時,經(jīng)由安裝于傳感器間的對應(yīng)彈簧將擋板有效的彈開,這時LED就能夠輕易的觸發(fā)光敏三極管。

4結(jié)語

相關(guān)熱門標(biāo)簽