公務(wù)員期刊網(wǎng) 精選范文 集成電路工藝與設(shè)計(jì)范文

集成電路工藝與設(shè)計(jì)精選(九篇)

前言:一篇好文章的誕生,需要你不斷地搜集資料、整理思路,本站小編為你收集了豐富的集成電路工藝與設(shè)計(jì)主題范文,僅供參考,歡迎閱讀并收藏。

集成電路工藝與設(shè)計(jì)

第1篇:集成電路工藝與設(shè)計(jì)范文

1 概述

在各種形式的開關(guān)變流器中,為了減小功率管的電流、電壓及熱應(yīng)力,降低損耗,提高變流器效率,減小電磁干擾,提高開關(guān)頻率和增加變流器功率密度,廣泛采用了軟開關(guān)技術(shù)。作為軟開關(guān)技術(shù)的一種,無(wú)源無(wú)損緩沖電路通過(guò)在主電路中附加電容、電感及二極管等無(wú)源元器件,使主開關(guān)具有零電壓、零電流開關(guān)條件,并且由于能將緩沖電路上的儲(chǔ)能全部傳遞給負(fù)載,從理論上講緩沖電路是沒(méi)有損耗的,這也有利于提高變換器的效率。

    圖1中所示的是一種新穎的無(wú)源無(wú)損緩沖電路拓?fù)?,可分別應(yīng)用于Buck電路和Boost電路,特別是在高開關(guān)頻率和中大功率場(chǎng)合。該緩沖電路能使主開關(guān)S在零電流開通(ZCON)和零電壓關(guān)斷(ZVOFF)條件下工作,極大降低了開關(guān)管在這種同時(shí)處于高電壓和大電流換流條件下的電路中所承受的應(yīng)力,而且還能有效地抑制主二極管D的反向恢復(fù)電流。這種緩沖電路拓?fù)湎鄬?duì)簡(jiǎn)單,使用的元器件數(shù)目較少,具有較強(qiáng)的工程實(shí)用價(jià)值。2無(wú)源無(wú)損緩沖電路工作過(guò)程分析以Buck電路為例,圖2和圖3分別描繪了該無(wú)源無(wú)損緩沖電路各階段的工作過(guò)程與相應(yīng)波形。

圖2

    階段1〔t0,t1〕——零電流開通t0時(shí)刻S導(dǎo)通,由于緩沖電感Lr的存在,開關(guān)管中的電流緩慢上升,S獲得了零電流開通(ZCON)條件。該階段中,輸入電壓直接施加在Lr上,其電流線性下降,因此S中的電流線性上升。另一方面,階段1也是D進(jìn)行反向恢復(fù)的過(guò)程。由于Lr的存在,極大抑制了D的反向恢復(fù)電流,并使反向恢復(fù)過(guò)程中的電壓尖峰大大削弱。在分析中不考慮反向恢復(fù)過(guò)程,t1時(shí)刻當(dāng)Lr中的電流下降到零時(shí)D截止,階段2開始。

    階段2〔t1,t2〕——Cr復(fù)位t1時(shí)刻Cr上電壓為Vin,Cs上電壓為0,通過(guò)Lr的電流為0。在由S,Lr,Cs,Ds2,Cr構(gòu)成的諧振回路中,Cr中的電荷將通過(guò)Cs和Lr釋放掉,Cs上電壓開始上升,D開始承受反向壓降,其變化規(guī)律滿足式(1),即

vD=Vin-vCr+vCs    (1)

t2時(shí)刻Cr上的電壓降為0,為S的零電壓關(guān)斷(ZVOFF)創(chuàng)造條件,這時(shí)通過(guò)S的電流達(dá)到最大值,即

同時(shí)Lr上的電流也達(dá)到反向最大值。

階段3〔t2,t3〕——Lr復(fù)位t2時(shí)刻當(dāng)Cr上的電壓降為0后,Ds1導(dǎo)通,此時(shí)Lr上的電流最大。Lr和Cs通過(guò)Ds1及Ds2構(gòu)成諧振回路,存貯在Lr中的能量通過(guò)諧振釋放到Cs中,Cs上的電壓繼續(xù)上升。由于Lr僅同Cs進(jìn)行諧振,因此階段3的持續(xù)時(shí)間要長(zhǎng)于階段2。t3時(shí)刻當(dāng)Lr中電流降為0,Ds1及Ds2截止,諧振過(guò)程結(jié)束。Cs上的電壓達(dá)到最大值,即

在此階段中,D所承受反向電壓的變化規(guī)律為

vD=Vin+vCs    (4)

階段4〔t3,t4〕緩沖電路停止工作,電路進(jìn)入正常的PWM開通階段。與普通硬開關(guān)PWMBuck電路導(dǎo)通階段不同的是,由于在本階段開始時(shí)D承受的反向電壓達(dá)到峰值并大于輸入電壓Vin,這并不是一個(gè)穩(wěn)定的狀態(tài),這部分多余的能量將通過(guò)D的結(jié)電容與Lr經(jīng)Vin構(gòu)成諧振回路而釋放掉,vD振蕩下降,到t4時(shí)刻穩(wěn)定在輸入電壓Vin。

階段5〔t4,t5〕——零電壓關(guān)斷t4時(shí)刻vgs=0,由于Cr的存在,S獲得了零電壓關(guān)斷(ZVOFF)。S關(guān)斷后,電流I全部轉(zhuǎn)移到Cr中,其端電壓迅速上升。t5時(shí)刻當(dāng)其電壓上升到(Vin-vCs?peak)時(shí),本階段結(jié)束,階段6開始。

階段6〔t5,t6〕t5時(shí)刻Ds3導(dǎo)通,Cs開始放電,通過(guò)Lr的電流逐漸增大。同時(shí)Cr繼續(xù)充電。為了在下一個(gè)開關(guān)周期中使S獲得零電流開通條件,Cr的端電壓必須在本階段中達(dá)到輸入電壓Vin,為此需要滿足式(5),即

若式(5)中的I=Imin,則式(5)轉(zhuǎn)換為

(Imax/Imin)<kc    (6)

t6時(shí)刻當(dāng)vCr等于Vin時(shí),Ds2導(dǎo)通,本階段結(jié)束,階段7開始。

階段7〔t6,t7〕本階段中,Cs繼續(xù)放電,使通過(guò)Lr中的電流繼續(xù)增大。同樣,為了在下一個(gè)開關(guān)周期中使S獲得零電流開通條件,通過(guò)Lr的電流必須在本階段中達(dá)到I,這需要滿足式(7),即

t7時(shí)刻當(dāng)緩沖電感電流iLr達(dá)到I時(shí),Ds1及Ds2截止,本階段結(jié)束。

階段8〔t7,t8〕本階段中,通過(guò)Lr的電流iLr恒為I,Cs繼續(xù)放電,其端電壓線性下降。t8時(shí)刻當(dāng)vCs降為0時(shí),Ds3截止,D導(dǎo)通,本階段結(jié)束。

階段9〔t8,t0〕緩沖電路停止工作,電路進(jìn)入正常的PWM關(guān)斷階段,直到S下一次開通。

設(shè)ωr=,Zr=,則S導(dǎo)通過(guò)程中緩沖電路工作時(shí)間ton=t3-t0,即

3 無(wú)源無(wú)損緩沖電路參數(shù)設(shè)計(jì)

緩沖電路的參數(shù)設(shè)計(jì)思路及過(guò)程如下。

當(dāng)S在硬開關(guān)條件下開通時(shí),由于D的反向恢復(fù)過(guò)程造成較大的電流和電壓過(guò)沖,使得S的損耗大大增加。加入緩沖電路后,因Lr的存在使得通過(guò)S的電流在開通時(shí)緩慢上升,另一方面,開通過(guò)程中其漏源電壓也不再被嵌在Vin,從而能降低損耗。假設(shè)S漏源電壓在時(shí)間ton內(nèi)線性下降到0,則開通損耗可以用式(10)表示,即

S關(guān)斷時(shí),對(duì)于MOSFET而言,由于Cr的存在使相當(dāng)一部分電流從緩沖電容Cr中流過(guò),即

is=I-Cr(dvds/dt)    (11)

有效降低了關(guān)斷損耗。由米勒效應(yīng)可知

dvds/dt=ig/Cdg    (12)

式中:ig=(Vt+I/gfs)/Rg;

Cdg為米勒電容;

Vt為MOSFET開啟閾值電壓;

gfs為跨導(dǎo);

Rg為柵極驅(qū)動(dòng)電阻。

因此,MOSFET關(guān)斷損耗可以用式(13)估算,即

Woff=(ICdg/ig-Cr)Vin/2-Wcd    (13)

式中:Cr<ICdg/ig-2Wcd/Vin,否則Woff=0;

Wcd是漏源寄生電容中存儲(chǔ)的能量。

忽略漏源寄生電容中存儲(chǔ)的能量Wcd,加入該無(wú)源無(wú)損緩沖電路后主開關(guān)MOS管的損耗即可按式(14)估算,即

因此,從減小MOSFET開關(guān)損耗的角度考慮,緩沖電容Cr可以取得最優(yōu)值,即

Cropt=(ICdg/ig)=(IRgCdg/Vdrive)    (15)

式中:Vdrive為驅(qū)動(dòng)電路輸出的驅(qū)動(dòng)信號(hào)高電平值。

據(jù)式(14),緩沖電感Lr增大,MOS管的開關(guān)損耗變??;另一方面,由式(8)和式(9)可知,在其它條件不變的情況下,Lr越大,緩沖電路在MOS管開通和關(guān)斷過(guò)程中工作的時(shí)間ton與toff就越長(zhǎng),為保證電路正常工作,須滿足

ton≤DminTs,toff≤(1-Dmax)Ts    (16)

因此,緩沖電感Lr的取值應(yīng)在保證適當(dāng)?shù)膖on及toff的條件下盡可能的大,以降低S損耗。式(8)中當(dāng)I=Imax時(shí)ton最大,式(9)中當(dāng)I=Imin時(shí)toff最大,即為緩沖電路工作時(shí)間的最差情況,在該條件下將式(8)及式(9)代入式(16),可求得諧振角頻率ωr的最大值,記為ωrm。于是,可知緩沖電感Lr的最優(yōu)值Lropt為

Lropt=1/wrmCropt    (17)

式中:ωrm為ωr的最大值;

Cropt為Cr的最優(yōu)值。

綜上所述,該無(wú)源無(wú)損緩沖電路的參數(shù)可以按照下面的步驟進(jìn)行設(shè)計(jì)。

1)設(shè)Zr=,式(7)得以滿足,這是為了在階段7中使Lr中的電流能恢復(fù)到I,以保證S在下一次開通過(guò)程中獲得零電流開通條件。

2)可取x=Cr/Cs=0.05,x的取值須滿足式(6),x<kc=4.5,同樣是為了保證S的ZCON條件。較小的x值使得該條件更容易滿足。另一方面,由式(3)及式(4)可知,較小的x值還有利于降低D的電壓應(yīng)力。

3)按照前述的方法求出滿足ton≤DminTs,toff≤(1-Dmax)Ts條件的最大的ωr值ωrm。

4)按照式(18)、式(19)和式(20)計(jì)算經(jīng)過(guò)優(yōu)化后的Cr,Cs和Lr參數(shù),即

Cropt=IRgCdg/Vdrive    (18)

Lropt=1/wrmCropt    (19)

Csopt=Cropt/0.05    (20)

4 實(shí)驗(yàn)結(jié)果

一個(gè)400V輸入,110V/10A輸出的帶有該無(wú)源無(wú)損緩沖電路的Buck變換器驗(yàn)證了其工作原理和優(yōu)點(diǎn)。

該變換器的規(guī)格和按照前述方法設(shè)計(jì)的緩沖電路的主要參數(shù)如下:

輸入電壓Vin400V;

輸出電壓Vo110V;

輸出電流Io0~10A;

開關(guān)頻率fs100kHz;

滿載效率94%;

主開關(guān)SIRFPS37N50A;

整流二極管DDSEI30-06A;

濾波電感L300μH;

輔助二極管Ds1~Ds3HFA25TB60;

諧振電容Cr3.3nF,Cs66nF;

緩沖電感Lr1μH。

圖4給出了樣機(jī)在1000W輸出時(shí)緩沖電感Lr上的電流波形,可以看出,與圖3中分析的理論波形一致,S實(shí)現(xiàn)了ZCON。所設(shè)計(jì)的緩沖電路的狀態(tài)僅在S換流過(guò)程中發(fā)生改變,其持續(xù)時(shí)間并不影響主電路正常的PWM工作模式。圖5所示為S柵極驅(qū)動(dòng)電壓和漏源電壓對(duì)比波形,由圖5中可以看出,在S關(guān)斷過(guò)程中,首先柵極驅(qū)動(dòng)電壓下降到S的開通閾值,在此過(guò)程中漏源電壓幾乎保持不變,然后S關(guān)斷,此時(shí)漏源電壓迅速上升,從而實(shí)現(xiàn)了ZVOFF。圖6中為D兩端的電壓波形,由于Lr的存在抑制了D的反向恢復(fù)電流,使D關(guān)斷時(shí)的電壓尖刺被大大削弱,在實(shí)驗(yàn)波形中幾乎已看不到。D反偏時(shí)端電壓的振蕩和開通時(shí)存在的電壓緩降過(guò)程與圖3中的理論分析一致。

第2篇:集成電路工藝與設(shè)計(jì)范文

集成電路是當(dāng)今信息技術(shù)產(chǎn)業(yè)高速發(fā)展的基礎(chǔ)和源動(dòng)力,已經(jīng)高度滲透與融合到國(guó)民經(jīng)濟(jì)和社會(huì)發(fā)展的每個(gè)領(lǐng)域,其技術(shù)水平和發(fā)展規(guī)模已成為衡量一個(gè)國(guó)家產(chǎn)業(yè)競(jìng)爭(zhēng)力和綜合國(guó)力的重要標(biāo)志之一[1],美國(guó)更將其視為未來(lái)20年從根本上改造制造業(yè)的四大技術(shù)領(lǐng)域之首。我國(guó)擁有全球最大、增長(zhǎng)最快的集成電路市場(chǎng),2013年規(guī)模達(dá)9166億元,占全球市場(chǎng)份額的50%左右。近年來(lái),國(guó)家大力發(fā)展集成電路,在上海浦東等地建立了集成電路產(chǎn)業(yè)基地,對(duì)于集成電路設(shè)計(jì)、制造、封裝、測(cè)試等方面的專門技術(shù)人才需求巨大。為了適應(yīng)產(chǎn)業(yè)需求,推進(jìn)我國(guó)集成電路發(fā)展,許多高校開設(shè)了電子科學(xué)與技術(shù)專業(yè),以培養(yǎng)集成電路方向的專業(yè)人才。集成電路版圖設(shè)計(jì)是電路設(shè)計(jì)與集成電路工藝之間必不可少的環(huán)節(jié)。據(jù)相關(guān)統(tǒng)計(jì),在從事集成電路設(shè)計(jì)工作的電子科學(xué)與技術(shù)專業(yè)的應(yīng)屆畢業(yè)生中,由于具有更多的電路知識(shí)儲(chǔ)備,研究生的從業(yè)比例比本科生高出很多。而以集成電路版圖為代表包括集成電路測(cè)試以及工藝等與集成電路設(shè)計(jì)相關(guān)的工作,相對(duì)而言對(duì)電路設(shè)計(jì)知識(shí)的要求低很多。因而集成電路版圖設(shè)計(jì)崗位對(duì)本科生而言更具競(jìng)爭(zhēng)力。在版圖設(shè)計(jì)崗位工作若干年知識(shí)和經(jīng)驗(yàn)的積累也將有利于從事集成電路設(shè)計(jì)工作。因此,版圖設(shè)計(jì)工程師的培養(yǎng)也成為了上海電力學(xué)院電子科學(xué)與技術(shù)專業(yè)本科人才培養(yǎng)的重要方向和辦學(xué)特色。本文根據(jù)上海電力學(xué)院電子科學(xué)與技術(shù)專業(yè)建設(shè)的目標(biāo),結(jié)合本校人才培養(yǎng)和專業(yè)建設(shè)目標(biāo),就集成電路版圖設(shè)計(jì)理論和實(shí)驗(yàn)教學(xué)環(huán)節(jié)進(jìn)行了探索和實(shí)踐。

一、優(yōu)化理論教學(xué)方法,豐富教學(xué)手段,突出課程特點(diǎn)

集成電路版圖作為一門電子科學(xué)與技術(shù)專業(yè)重要的專業(yè)課程,教學(xué)內(nèi)容與電子技術(shù)(模擬電路和數(shù)字電路)、半導(dǎo)體器件、集成電路設(shè)計(jì)基礎(chǔ)等先修課程中的電路理論、器件基礎(chǔ)和工藝原理等理論知識(shí)緊密聯(lián)系,同時(shí)版圖設(shè)計(jì)具有很強(qiáng)的實(shí)踐特點(diǎn)。因此,必須從本專業(yè)學(xué)生的實(shí)際特點(diǎn)和整個(gè)專業(yè)課程布局出發(fā),注重課程與其他課程承前啟后,有機(jī)融合,摸索出一套實(shí)用有效的教學(xué)方法。在理論授課過(guò)程中從集成電路的設(shè)計(jì)流程入手,在CMOS集成電路和雙極集成電路基本工藝進(jìn)行概述的基礎(chǔ)上,從版圖基本單元到電路再到芯片循序漸進(jìn)地講授集成電路版圖結(jié)構(gòu)、設(shè)計(jì)原理和方法,做到與上游知識(shí)點(diǎn)的融會(huì)貫通。

集成電路的規(guī)模已發(fā)展到片上系統(tǒng)(SOC)階段,教科書的更新速度遠(yuǎn)遠(yuǎn)落后于集成電路技術(shù)的發(fā)展速度。集成電路工藝線寬達(dá)到了納米量級(jí),對(duì)于集成電路版圖設(shè)計(jì)在當(dāng)前工藝條件下出現(xiàn)的新問(wèn)題和新規(guī)則,通過(guò)查閱最新的文獻(xiàn)資料,向?qū)W生介紹版圖設(shè)計(jì)前沿技術(shù)與發(fā)展趨勢(shì),開拓學(xué)生視野,提升學(xué)習(xí)熱情。在課堂教學(xué)中盡量減少冗長(zhǎng)的公式和繁復(fù)的理論推導(dǎo),將理論講解和工程實(shí)踐相結(jié)合,通過(guò)工程案例使學(xué)生了解版圖設(shè)計(jì)是科學(xué)、技術(shù)和經(jīng)驗(yàn)的有機(jī)結(jié)合。比如,在有關(guān)天線效應(yīng)的教學(xué)過(guò)程中針對(duì)一款采用中芯國(guó)際(SMIC)0.18um 1p6m工藝的雷達(dá)信號(hào)處理SOC 芯片,結(jié)合跳線法和反偏二極管的天線效應(yīng)消除方法,詳細(xì)闡述版圖設(shè)計(jì)中完全修正天線規(guī)則違例的關(guān)鍵步驟,極大地激發(fā)了學(xué)生的學(xué)習(xí)興趣,收到了較好的教學(xué)效果。

集成電路版圖起著承接電路設(shè)計(jì)和芯片實(shí)現(xiàn)的重要作用。通過(guò)版圖設(shè)計(jì),可以將立體的電路轉(zhuǎn)化為二維的平面幾何圖形,再通過(guò)工藝加工轉(zhuǎn)化為基于半導(dǎo)體硅材料的立體結(jié)構(gòu)[2]。集成電路版圖設(shè)計(jì)是集成電路流程中的重要環(huán)節(jié),與集成電路工藝密切相關(guān)。為了讓學(xué)生獲得直觀、準(zhǔn)確和清楚的認(rèn)識(shí),制作了形象生動(dòng)、圖文并茂的多媒體教學(xué)課件,將集成電路典型的設(shè)計(jì)流程、雙極和CMOS集成電路工藝流程、芯片內(nèi)部結(jié)構(gòu)、版圖的層次等內(nèi)容以圖片、Flash動(dòng)畫、視頻等形式進(jìn)行展示。

版圖包含了集成電路尺寸、各層拓?fù)涠x等器件相關(guān)的物理信息數(shù)據(jù)[3]。掩膜上的圖形決定著芯片上器件或連接物理層的尺寸。因此版圖上的幾何圖形尺寸與芯片上物理層的尺寸直接相關(guān)。而集成電路制造廠家根據(jù)版圖數(shù)據(jù)來(lái)制造掩膜,對(duì)于同種工藝各個(gè)foundry廠商所提供的版圖設(shè)計(jì)規(guī)則各不相同[4]。教學(xué)實(shí)踐中注意將先進(jìn)的典型芯片版圖設(shè)計(jì)實(shí)例引入課堂,例如舉出臺(tái)灣積體電路制造公司(TSMC)的45nm CMOS工藝的數(shù)模轉(zhuǎn)換器的芯片版圖實(shí)例,讓學(xué)生從當(dāng)今業(yè)界實(shí)際制造芯片的角度學(xué)習(xí)和掌握版圖設(shè)計(jì)的規(guī)則,同時(shí)切實(shí)感受到模擬版圖和數(shù)字版圖設(shè)計(jì)的藝術(shù)。

二、利用業(yè)界主流EDA工具,構(gòu)建基于完整版圖設(shè)計(jì)流程的實(shí)驗(yàn)體系

集成電路版圖設(shè)計(jì)實(shí)驗(yàn)采用了Cadence公司的EDA工具進(jìn)行版圖設(shè)計(jì)。Cadence的EDA產(chǎn)品涵蓋了電子設(shè)計(jì)的整個(gè)流程,包括系統(tǒng)級(jí)設(shè)計(jì)、功能驗(yàn)證、集成電路(IC)綜合及布局布線、物理驗(yàn)證、PCB設(shè)計(jì)和硬件仿真建模模擬、混合信號(hào)及射頻IC設(shè)計(jì)、全定制IC設(shè)計(jì)等。全球知名半導(dǎo)體與電子系統(tǒng)公司如AMD、NEC、三星、飛利浦均將Cadence軟件作為其全球設(shè)計(jì)的標(biāo)準(zhǔn)。將業(yè)界主流的EDA設(shè)計(jì)軟件引入實(shí)驗(yàn)教學(xué)環(huán)節(jié),有利于學(xué)生畢業(yè)后很快適應(yīng)崗位,盡快進(jìn)入角色。

專業(yè)實(shí)驗(yàn)室配備了多臺(tái)高性能Sun服務(wù)器、工作站以及60臺(tái)供學(xué)生實(shí)驗(yàn)用的PC機(jī)。服務(wù)器中安裝的Cadence 工具主要包括:Verilog HDL的仿真工具Verilog-X、電路圖設(shè)計(jì)工具Composer、電路模擬工具Analog Artist、版圖設(shè)計(jì)工具Virtuoso Layout Editing、版圖驗(yàn)證工具Dracula 和Diva、自動(dòng)布局布線工具Preview和Silicon Ensemble。

Cadence軟件是按照庫(kù)(Library)、單元(Cell)、和視圖(View)的層次實(shí)現(xiàn)對(duì)文件的管理。庫(kù)、單元和視圖三者之間的關(guān)系為庫(kù)文件是一組單元的集合,包含著各個(gè)單元的不同視圖。庫(kù)文件包括技術(shù)庫(kù)和設(shè)計(jì)庫(kù)兩種,設(shè)計(jì)庫(kù)是針對(duì)用戶設(shè)立,不同的用戶可以有不同的設(shè)計(jì)庫(kù)。而技術(shù)庫(kù)是針對(duì)工藝設(shè)立,不同特征尺寸的工藝、不同的芯片制造商的技術(shù)庫(kù)不同。為了讓學(xué)生在掌握主流EDA工具使用的同時(shí)對(duì)版圖設(shè)計(jì)流程有準(zhǔn)確、深入的理解,安排針對(duì)無(wú)錫上華公司0.6um兩層多晶硅兩層金屬(Double Poly Double Metal)混合信號(hào)CMOS工藝的一系列實(shí)驗(yàn)讓學(xué)生掌握包括從電路圖的建立、版圖建立與編輯、電學(xué)規(guī)則檢查(ERC),設(shè)計(jì)規(guī)則檢查(DRC)、到電路圖-版圖一致性檢查(LVS)的完整的版圖設(shè)計(jì)流程[5]。通過(guò)完整的基于設(shè)計(jì)流程的版圖實(shí)驗(yàn)使學(xué)生能較好地掌握電路設(shè)計(jì)工具Composer、版圖設(shè)計(jì)工具Virtuoso Layout Editor以及版圖驗(yàn)證工具Dracula和Diva的使用,同時(shí)對(duì)版圖設(shè)計(jì)的關(guān)鍵步驟形成清晰的認(rèn)識(shí)。

以下以CMOS與非門為例,介紹基于一個(gè)完整的數(shù)字版圖設(shè)計(jì)流程的教學(xué)實(shí)例。

在CMOS與非門的版圖設(shè)計(jì)中,首先要求學(xué)生建立設(shè)計(jì)庫(kù)和技術(shù)庫(kù),在技術(shù)庫(kù)中加載CSMC 0.6um的工藝的技術(shù)文件,將設(shè)計(jì)庫(kù)與技術(shù)庫(kù)進(jìn)行關(guān)聯(lián)。然后在設(shè)計(jì)庫(kù)中用Composer中建立相應(yīng)的電路原理圖(schematic),進(jìn)行ERC檢查。再根據(jù)電路原理圖用Virtuoso Layout Editor工具繪制對(duì)應(yīng)的版圖(layout)。版圖繪制步驟依次為MOS晶體管的有源區(qū)、多晶硅柵極、MOS管源區(qū)和漏區(qū)的接觸孔、P+注入、N阱、N阱接觸、N+注入、襯底接觸、金屬連線、電源線、地線、輸入及輸出?;镜陌鎴D繪制完成之后,將輸入、輸出端口以及電源線和地線的名稱標(biāo)注于版圖的適當(dāng)位置處,再在Dracula工具中利用幾何設(shè)計(jì)規(guī)則文件進(jìn)行DRC驗(yàn)證。然后利用GDS版圖數(shù)據(jù)與電路圖網(wǎng)表進(jìn)行版圖與原理圖一致性檢查(LVS),修改其中的錯(cuò)誤并按最小面積優(yōu)化版圖,最后版圖全部通過(guò)檢查,設(shè)計(jì)完成。圖1和圖2分別給出了CMOS與非門的原理圖和版圖。

第3篇:集成電路工藝與設(shè)計(jì)范文

關(guān)鍵詞:版圖設(shè)計(jì);集成電路;教學(xué)與實(shí)踐

中圖分類號(hào):G642.0 文獻(xiàn)標(biāo)志碼:A 文章編號(hào):1674-9324(2014)06-0153-02

目前,集成電路設(shè)計(jì)公司在招聘新版圖設(shè)計(jì)員工時(shí),都希望找到已經(jīng)具備一定工作經(jīng)驗(yàn)的,并且熟悉本行業(yè)規(guī)范的設(shè)計(jì)師。但是,IC設(shè)計(jì)這個(gè)行業(yè)圈并不大,招聘人才難覓,不得不從其他同行業(yè)挖人才或通過(guò)獵頭公司。企業(yè)不得不付出很高的薪資,設(shè)計(jì)師才會(huì)考慮跳槽,于是一些企業(yè)將招聘新員工目標(biāo)轉(zhuǎn)向了應(yīng)屆畢業(yè)生或在校生,以提供較低薪酬聘用員工或?qū)嵙?xí)方式來(lái)培養(yǎng)適合本公司的版圖師。一些具備版圖設(shè)計(jì)知識(shí)的即將畢業(yè)學(xué)生就進(jìn)入了IC設(shè)計(jì)行業(yè)。但是,企業(yè)通常在招聘時(shí)或是畢業(yè)生進(jìn)入企業(yè)一段時(shí)間后發(fā)現(xiàn),即使是懂點(diǎn)版圖知識(shí)的新員工,電路和工藝的知識(shí)差強(qiáng)人意,再就是行業(yè)術(shù)語(yǔ)與設(shè)計(jì)軟件使用不夠熟練、甚至不懂。這就要求我們?cè)诎鎴D教學(xué)時(shí)滲入電路與工藝等知識(shí),使學(xué)生明確其中緊密關(guān)聯(lián)關(guān)系,樹立電路、工藝以及設(shè)計(jì)軟件為版圖設(shè)計(jì)服務(wù)的理念。

一、企業(yè)對(duì)IC版圖設(shè)計(jì)的要求分析

集成電路設(shè)計(jì)公司在招聘版圖設(shè)計(jì)員工時(shí),除了對(duì)員工的個(gè)人素質(zhì)和英語(yǔ)的應(yīng)用能力等要求之外,大部分是考查專業(yè)應(yīng)用的能力。一般都會(huì)對(duì)新員工做以下要求:熟悉半導(dǎo)體器件物理、CMOS或BiCMOS、BCD集成電路制造工藝;熟悉集成電路(數(shù)字、模擬)設(shè)計(jì),了解電路原理,設(shè)計(jì)關(guān)鍵點(diǎn);熟悉Foundry廠提供的工藝參數(shù)、設(shè)計(jì)規(guī)則;掌握主流版圖設(shè)計(jì)和版圖驗(yàn)證相關(guān)EDA工具;完成手工版圖設(shè)計(jì)和工藝驗(yàn)證[1,2]。另外,公司希望合格的版圖設(shè)計(jì)人員除了懂得IC設(shè)計(jì)、版圖設(shè)計(jì)方面的專業(yè)知識(shí),還要熟悉Foundry廠的工作流程、制程原理等相關(guān)知識(shí)[3]。正因?yàn)槠湫枰莆盏闹R(shí)面廣,而國(guó)內(nèi)學(xué)校開設(shè)這方面專業(yè)比較晚,IC版圖設(shè)計(jì)工程師的人才缺口更為巨大,所以擁有一定工作經(jīng)驗(yàn)的設(shè)計(jì)工程師,就成為各設(shè)計(jì)公司和獵頭公司爭(zhēng)相角逐的人才[4,5]。

二、針對(duì)企業(yè)要求的版圖設(shè)計(jì)教學(xué)規(guī)劃

1.數(shù)字版圖設(shè)計(jì)。數(shù)字集成電路版圖設(shè)計(jì)是由自動(dòng)布局布線工具結(jié)合版圖驗(yàn)證工具實(shí)現(xiàn)的。自動(dòng)布局布線工具加載準(zhǔn)備好的由verilog程序經(jīng)過(guò)DC綜合后的網(wǎng)表文件與Foundry提供的數(shù)字邏輯標(biāo)準(zhǔn)單元版圖庫(kù)文件和I/O的庫(kù)文件,它包括物理庫(kù)、時(shí)序庫(kù)、時(shí)序約束文件。在數(shù)字版圖設(shè)計(jì)時(shí),一是熟練使用自動(dòng)布局布線工具如Encounter、Astro等,鑒于很少有學(xué)校開設(shè)這門課程,可以推薦學(xué)生自學(xué)或是參加專業(yè)培訓(xùn)。二是數(shù)字邏輯標(biāo)準(zhǔn)單元版圖庫(kù)的設(shè)計(jì),可以由Foundry廠提供,也可由公司自定制標(biāo)準(zhǔn)單元版圖庫(kù),因此對(duì)于初學(xué)者而言設(shè)計(jì)好標(biāo)準(zhǔn)單元版圖使其符合行業(yè)規(guī)范至關(guān)重要。

2.模擬版圖設(shè)計(jì)。在模擬集成電路設(shè)計(jì)中,無(wú)論是CMOS還是雙極型電路,主要目標(biāo)并不是芯片的尺寸,而是優(yōu)化電路的性能,匹配精度、速度和各種功能方面的問(wèn)題。作為版圖設(shè)計(jì)者,更關(guān)心的是電路的性能,了解電壓和電流以及它們之間的相互關(guān)系,應(yīng)當(dāng)知道為什么差分對(duì)需要匹配,應(yīng)當(dāng)知道有關(guān)信號(hào)流、降低寄生參數(shù)、電流密度、器件方位、布線等需要考慮的問(wèn)題。模擬版圖是在注重電路性能的基礎(chǔ)上去優(yōu)化尺寸的,面積在某種程度上說(shuō)仍然是一個(gè)問(wèn)題,但不再是壓倒一切的問(wèn)題。在模擬電路版圖設(shè)計(jì)中,性能比尺寸更重要。另外,模擬集成電路版圖設(shè)計(jì)師作為前端電路設(shè)計(jì)師的助手,經(jīng)常需要與前端工程師交流,看是否需要版圖匹配、布線是否合理、導(dǎo)線是否有大電流流過(guò)等,這就要求版圖設(shè)計(jì)師不僅懂工藝而且能看懂模擬電路。

3.逆向版圖設(shè)計(jì)。集成電路逆向設(shè)計(jì)其實(shí)就是芯片反向設(shè)計(jì)。它是通過(guò)對(duì)芯片內(nèi)部電路的提取與分析、整理,實(shí)現(xiàn)對(duì)芯片技術(shù)原理、設(shè)計(jì)思路、工藝制造、結(jié)構(gòu)機(jī)制等方面的深入洞悉。因此,對(duì)工藝了解的要求更高。反向設(shè)計(jì)流程包括電路提取、電路整理、分析仿真驗(yàn)證、電路調(diào)整、版圖提取整理、版圖繪制驗(yàn)證及后仿真等。設(shè)計(jì)公司對(duì)反向版圖設(shè)計(jì)的要求較高,版圖設(shè)計(jì)工作還涵蓋了電路提取與整理,這就要求版圖設(shè)計(jì)師不僅要深入了解工藝流程;而且還要熟悉模擬電路和數(shù)字標(biāo)準(zhǔn)單元電路工作原理。

三、教學(xué)實(shí)現(xiàn)

1.數(shù)字版圖。數(shù)字集成電路版圖在教學(xué)時(shí),一是掌握自動(dòng)布局布線工具的使用,還需要對(duì)UNIX或LINUX系統(tǒng)熟悉,尤其是一些常用的基本指令;二是數(shù)字邏輯單元版圖的設(shè)計(jì),目前數(shù)字集成電路設(shè)計(jì)大都采用CMOS工藝,因此,必須深入學(xué)習(xí)CMOS工藝流程。在教學(xué)時(shí),可以做個(gè)形象的PPT,空間立體感要強(qiáng),使學(xué)生更容易理解CMOS工藝的層次、空間感。邏輯單元版圖具體教學(xué)方法應(yīng)當(dāng)采用上機(jī)操作并配備投影儀,教師一邊講解電路和繪制版圖,一邊講解軟件的操作、設(shè)計(jì)規(guī)則、畫版圖步驟、注意事項(xiàng),學(xué)生跟著一步一步緊隨教師演示學(xué)習(xí)如何畫版圖,同時(shí)教師可適當(dāng)調(diào)整教學(xué)速度,適時(shí)停下來(lái)檢查學(xué)生的學(xué)習(xí)情況,若有錯(cuò)加以糾正。這樣,教師一個(gè)單元版圖講解完畢,學(xué)生亦完成一個(gè)單元版圖。亦步亦趨、步步跟隨,學(xué)生的注意力更容易集中,掌握速度更快。課堂講解完成后,安排學(xué)生實(shí)驗(yàn)以鞏固所學(xué)。邏輯單元版圖教學(xué)內(nèi)容安排應(yīng)當(dāng)采用目前常用的單元,并具有代表性、擴(kuò)展性,使學(xué)生可以舉一反三,擴(kuò)展到整個(gè)單元庫(kù)。具體單元內(nèi)容安排如反相器、與非門/或非門、選擇器、異或門/同或門、D觸發(fā)器與SRAM等。在教授時(shí)一定要注意符合行業(yè)規(guī)范,比如單元的高度、寬度的確定要符合自動(dòng)布局布線的要求;單元版圖一定要最小化,如異或門與觸發(fā)器等常使用傳輸門實(shí)現(xiàn),繪制版圖時(shí)注意晶體管源漏區(qū)的合并;大尺寸晶體管的串并聯(lián)安排合理等。

2.模擬版圖。模擬集成電路版圖設(shè)計(jì)更注重電路的性能實(shí)現(xiàn),經(jīng)常需要與前端電路設(shè)計(jì)工程師交流。因此,版圖教學(xué)時(shí)教師須要求學(xué)生掌握模擬集成電路的基本原理,學(xué)生能識(shí)CMOS模擬電路,與前端電路工程師交流無(wú)障礙。同時(shí)也要求學(xué)生掌握工藝對(duì)模擬版圖的影響,熟練運(yùn)用模擬版圖的晶體管匹配、保護(hù)環(huán)、Dummy晶體管等關(guān)鍵技術(shù)。在教學(xué)方法上,依然采用數(shù)字集成電路版圖的教學(xué)過(guò)程,實(shí)現(xiàn)教與學(xué)的同步。在內(nèi)容安排上,一是以運(yùn)算放大器為例,深入講解差分對(duì)管、電流鏡、電容的匹配機(jī)理,版圖匹配時(shí)結(jié)構(gòu)采用一維還是二維,具體是如何布局的,以及保護(hù)環(huán)與dummy管版圖繪制技術(shù)。二是以帶隙基準(zhǔn)電壓源為例,深入講解N阱CMOS工藝下雙極晶體管PNP與電阻匹配的版圖繪制技術(shù)。在教學(xué)時(shí)需注意晶體管與電阻并聯(lián)拆分的合理性、電阻與電容的類型與計(jì)算方法以及布線的規(guī)范性。

3.逆向版圖設(shè)計(jì)。逆向集成電路版圖設(shè)計(jì)需要學(xué)生掌握數(shù)字標(biāo)準(zhǔn)單元的命名規(guī)范、所有標(biāo)準(zhǔn)單元電路結(jié)構(gòu)、常用模擬電路的結(jié)構(gòu)以及芯片的工藝,要求學(xué)生熟悉模擬和數(shù)字集成單元電路。這樣才可以在逆向提取電路與版圖時(shí),做到準(zhǔn)確無(wú)誤。教學(xué)方法同樣還是采用數(shù)字集成電路版圖教學(xué)流程,達(dá)到學(xué)以致用。教學(xué)內(nèi)容當(dāng)以一個(gè)既含數(shù)字電路又含模擬電路的芯片為例。為了提取數(shù)字單元電路,需講解foundry提供的標(biāo)準(zhǔn)單元庫(kù)里的單元電路與命名規(guī)范。在提取單元電路教學(xué)時(shí),說(shuō)明數(shù)字電路需要?dú)w并同類圖形,例如與非門、或非門、觸發(fā)器等,同樣的圖形不要分析多次。強(qiáng)調(diào)學(xué)生注意電路的共性、版圖布局與布線的規(guī)律性,做到熟能生巧。模擬電路的提取與版圖繪制教學(xué)要求學(xué)生掌握模擬集成電路常用電路結(jié)構(gòu)與工作原理,因?yàn)槟嫦蛟O(shè)計(jì)軟件提出的元器件符號(hào)應(yīng)該按照易于理解的電路整理,使其他人員也能看出你提取電路的功能,做到準(zhǔn)確通用規(guī)范性。

集成電路版圖設(shè)計(jì)教學(xué)應(yīng)面向企業(yè),按照企業(yè)對(duì)設(shè)計(jì)工程師的要求來(lái)安排教學(xué),做到教學(xué)與實(shí)踐的緊密結(jié)合。從教學(xué)開始就向?qū)W生灌輸IC行業(yè)知識(shí),定位準(zhǔn)確,學(xué)生明確自己應(yīng)該掌握哪些相關(guān)知識(shí)。本文從集成電路數(shù)字版圖、模擬版圖和逆向設(shè)計(jì)版圖這三個(gè)方面就如何開展教學(xué)可以滿足企業(yè)對(duì)版圖工程師的要求展開探討,安排教學(xué)有針對(duì)性。在教學(xué)方法與內(nèi)容上做了分析探討,力求讓學(xué)生在畢業(yè)后可以順利進(jìn)入IC行業(yè)做出努力。

參考文獻(xiàn):

[1]王靜霞,余菲,趙杰.面向職業(yè)崗位構(gòu)建高職微電子技術(shù)專業(yè)人才培養(yǎng)模式[J].職業(yè)技術(shù)教育,2010,31(14):5-8.

[2]劉俐,趙杰.針對(duì)職業(yè)崗位需求?搖探索集成電路設(shè)計(jì)技術(shù)課程教學(xué)新模式[J].中國(guó)職業(yè)技術(shù)教育,2012,(2):5-8.

[3]鞠家欣,鮑嘉明,楊兵.探索微電子專業(yè)實(shí)踐教學(xué)新方法-以“集成電路版圖設(shè)計(jì)”課程為例[J].實(shí)驗(yàn)技術(shù)與管理,2012,29(3):280-282.

[4]李淑萍,史小波,金曦.微電子技術(shù)專業(yè)服務(wù)地方經(jīng)濟(jì)培養(yǎng)高技能人才的探索[J].職業(yè)技術(shù)教育,2010,13(11):13-16.

第4篇:集成電路工藝與設(shè)計(jì)范文

關(guān)鍵詞:Actel Fusion;溫度自動(dòng)控制;無(wú)線傳輸;遠(yuǎn)程監(jiān)控

引言

西安郵電學(xué)院于2006年引進(jìn)北京航天時(shí)代電子公司第772所一條閑置集成電路生產(chǎn)前端工藝線(14臺(tái)工藝設(shè)備),建立了集成電路工藝實(shí)驗(yàn)室,為微電子學(xué)、集成電路設(shè)計(jì)、系統(tǒng)集成以及電子信息類相關(guān)專業(yè)學(xué)生提供集成電路工藝生產(chǎn)實(shí)習(xí)及實(shí)踐環(huán)境。在這14臺(tái)工藝設(shè)備中,有高溫雙管擴(kuò)散爐L4513Ⅱ一12/ZM 3臺(tái),主要供學(xué)生進(jìn)行半導(dǎo)體工藝中擴(kuò)散工藝的相關(guān)實(shí)驗(yàn)。這四臺(tái)設(shè)備均為上個(gè)世紀(jì)80年代生產(chǎn)的臥式高溫爐設(shè)備。設(shè)備的溫控部分為模擬控制,其精度低、工作穩(wěn)定性及可靠性差、能耗大,操作復(fù)雜。

“以Actel混合信號(hào)Fusion為基礎(chǔ)的無(wú)線擴(kuò)散爐溫自動(dòng)監(jiān)控系統(tǒng)”的目標(biāo)是對(duì)雙管擴(kuò)散爐溫控部分進(jìn)行改造,實(shí)現(xiàn)數(shù)字式自動(dòng)控制,以提高爐體的精度,降低能耗。該項(xiàng)目的開發(fā)和研究對(duì)于保證我院微電子學(xué)專業(yè)等專業(yè)的集成電路工藝實(shí)踐教學(xué)有重要的實(shí)用價(jià)值和現(xiàn)實(shí)意義。

Actel FUSion芯片

Actel Fusion系統(tǒng)芯片(PSC)是全球首個(gè)混合信號(hào)FPGA器件,將可配置模擬部件、大型Flash內(nèi)存構(gòu)件、全面的時(shí)鐘生成和管理電路,以及高性能可編程邏輯集成在單片器件中,Actel Fusion可與Actel的軟MCU內(nèi)核同用,為數(shù)模混合設(shè)計(jì)者提供了一個(gè)良好的可編程系統(tǒng)芯片平臺(tái)。

Actel Fusion系列芯片以Flash為基礎(chǔ)的FPGA將配置信息儲(chǔ)存在片上Flash單元中,一旦完成編程后,配置數(shù)據(jù)就會(huì)成為FPGA結(jié)構(gòu)的固有部分,在系統(tǒng)上電時(shí)并無(wú)需載入外部配置數(shù)據(jù)。以Flash為基礎(chǔ)的Fusion無(wú)需額外的系統(tǒng)元件,如傳統(tǒng)SRAMFPGA配置用的串行非揮發(fā)性內(nèi)存(EEPROM)或以Flash為基礎(chǔ)的微控制器,它們都是用來(lái)在每次上電時(shí)對(duì)傳統(tǒng)SRAMFPGA加載程序的。增加的融合功能可在電路板上省去多個(gè)附加元件,如Flash內(nèi)存、分立模擬IC、時(shí)鐘源、EEPROM,以及實(shí)時(shí)時(shí)鐘等,從而減低系統(tǒng)成本和電路板空間需求。

本設(shè)計(jì)選用的是Fusion系列得AFS600芯片,該芯片內(nèi)部有60萬(wàn)可編程的邏輯門,具有4Mbit的用戶可用的Flash Memory、lkbit的FlashROM、108kbit的RAM;2個(gè)PLL,最高頻率可達(dá)350MHz;支持多種I/O電平標(biāo)準(zhǔn),其中差分的I/O標(biāo)準(zhǔn)有:LVPECL、LVDS、BLVDS、M-LVDS;具有AES、FlashLock加密技術(shù);集成了獨(dú)特的模擬部分,分辨率高達(dá)12位、采樣率高達(dá)600kbps、30個(gè)輸入通道、2.56V內(nèi)部參考源的A/D;可實(shí)現(xiàn)電壓、溫度、電流檢測(cè)。

本設(shè)計(jì)在Actel Fusion開發(fā)平成的,具有良好得可移植性和集成性。下面首先介紹本系統(tǒng)用到的主要資源。

可編程的多路ADC模塊

Actel Fusion器件集成了頻率達(dá)600ksps且可配置的12位逐次逼近(SAR)模數(shù)轉(zhuǎn)換器(ADC)。這種模擬電路非常靈活,能支持MOSFET柵極驅(qū)動(dòng)輸出和多個(gè)模擬輸入,輸入電壓在-12V到+12V之間,更可選配預(yù)調(diào)器,以便對(duì)各種模擬系統(tǒng)直接連接及控制,如電壓、差分電流或溫度的監(jiān)控等。

本設(shè)計(jì)中,充分的利用了該款芯片的多路模擬輸入優(yōu)點(diǎn),將模擬電壓引腳以及溫度引腳都是用了,實(shí)現(xiàn)溫度的傳感器電壓信號(hào)輸入以及手動(dòng)控制的電壓信號(hào)的輸入。這樣可以減少外部電路的復(fù)雜性,同時(shí)提高系統(tǒng)的穩(wěn)定性。

內(nèi)置的8051單片機(jī)模塊

Actel Fusion芯片提供了大量的MCU微處理機(jī)控制。單元本設(shè)計(jì)中使用的8051單片機(jī)是將單片機(jī)的硬件電路通過(guò)調(diào)用51單片機(jī)IP核的方式燒寫在FPGA電路內(nèi)部。軟件編程的程序燒寫在芯片內(nèi)部的Flash中。大大的方便了編程以及程序的燒寫。在這里也體現(xiàn)了單芯片的解決方案的優(yōu)越性。

豐富的PLL資源

本設(shè)計(jì)中,很多模塊都需要不同頻率的工作時(shí)鐘。該芯片內(nèi)提供了可配置的鎖相環(huán)資源,可以提供頻率范圍很寬的時(shí)鐘輸出。為整個(gè)系統(tǒng)的搭建提供了豐富的不同頻率時(shí)鐘資源,使得我們的難度降低了不少,極大地縮短了開發(fā)周期。

系統(tǒng)硬件及軟件設(shè)計(jì)

系統(tǒng)由Actel Fusion開發(fā)板,數(shù)據(jù)采集部分、無(wú)線收發(fā)部分、報(bào)警電路、手動(dòng)控制和初始化,繼電器電路和控制軟件和通信軟件等構(gòu)成,其總體設(shè)計(jì)框圖如圖1所示。

數(shù)據(jù)采集電路

現(xiàn)場(chǎng)的溫度數(shù)據(jù)經(jīng)過(guò)熱電偶的冷端補(bǔ)償和毫伏放大電路后,將溫度信息轉(zhuǎn)換成電壓量然后送控制系統(tǒng)分析處理。

無(wú)線收發(fā)電路

無(wú)線收發(fā)部分采用的無(wú)線傳輸模塊是由西安達(dá)特科技公司出品的DTZ-01A ZigBee無(wú)線數(shù)據(jù)發(fā)送接收模塊,不需要外部組件??梢院芎玫膶?shí)現(xiàn)數(shù)據(jù)的透明傳輸。用來(lái)發(fā)送現(xiàn)場(chǎng)的溫度數(shù)據(jù)到遠(yuǎn)端的計(jì)算機(jī)上,通過(guò)VB編寫的軟件實(shí)現(xiàn)溫度的遠(yuǎn)端監(jiān)控。

報(bào)警電路

語(yǔ)音報(bào)警的設(shè)計(jì)是在溫度超過(guò)設(shè)定溫度值一定范圍的時(shí)候,發(fā)出警告信息,包括紅燈亮起,同時(shí)蜂鳴器給出報(bào)警聲音。硬件電路上包括開發(fā)板上提供的蜂鳴器和外掛的發(fā)光二極管,來(lái)完成報(bào)警的功能。

PS2鍵盤數(shù)據(jù)輸入

本設(shè)計(jì)中控制數(shù)據(jù)的輸入是通過(guò)外掛的PS2鍵盤實(shí)現(xiàn)。將鍵盤直接接到開發(fā)版提供的PS2鍵盤接口上,通過(guò)芯片內(nèi)部的PS2硬件電路驅(qū)動(dòng)和51編程的軟件驅(qū)動(dòng)實(shí)現(xiàn)鍵盤數(shù)據(jù)的輸入。

LCD顯示

本設(shè)計(jì)我們選用的是640×480點(diǎn)陣的LCD顯示屏幕,可以在一個(gè)屏幕上同時(shí)顯示出10路的溫度信息以及其他的控制信息。驅(qū)動(dòng)LCD屏幕是通過(guò)8051編程實(shí)現(xiàn)的。

FPGA內(nèi)部電路設(shè)計(jì)與實(shí)現(xiàn)

FPGA內(nèi)部硬件電路設(shè)計(jì),主要是用Verilog HDL硬件電路描述語(yǔ)言實(shí)現(xiàn)的系統(tǒng)硬件的電路的設(shè)計(jì),其中有一些模塊是調(diào)用的IP核實(shí)現(xiàn)的(core 8051模塊、鎖相環(huán)和ADC模塊)。FPGA內(nèi)部電路由ADC模塊、信號(hào)毛刺去除模塊、寬度可調(diào)脈沖(PWM)模塊、10路PWM控制信號(hào)選擇模塊、PS2硬件驅(qū)動(dòng)模塊、50Hz時(shí)鐘信號(hào)產(chǎn)生模塊、報(bào)警電路模塊(FPGA實(shí)現(xiàn))、LCD顯示模塊和Core8051模塊構(gòu)成。

系統(tǒng)控制軟件

控制軟件部分由主函數(shù)、選擇通道子函數(shù)、設(shè)置通道參數(shù)子函數(shù)、顯示設(shè)定數(shù)據(jù)子函數(shù)、顯示通道溫度數(shù)據(jù)子函數(shù)、顯示控制數(shù)據(jù)子函數(shù)、PID控制子函數(shù)、串行發(fā)送子函數(shù)和LCD顯示子函數(shù)構(gòu)成。軟件流程圖如圖2所示。

系統(tǒng)實(shí)現(xiàn)

該控制系統(tǒng)主要完成的功能有各個(gè)通道的控制參數(shù)的輸入、對(duì)高溫模擬擴(kuò)散爐的控制、現(xiàn)場(chǎng)溫度溫度信息的遠(yuǎn)程監(jiān)控。圖3為現(xiàn)場(chǎng)控制臺(tái)的顯示界面,從圖中可以看出10個(gè)通道的控制參數(shù),通道狀態(tài)以及現(xiàn)場(chǎng)的溫度信息。圖4為遠(yuǎn)程計(jì)算機(jī)的監(jiān)控畫面,從遠(yuǎn)程計(jì)算機(jī)可以直觀的觀測(cè)現(xiàn)場(chǎng)各個(gè)通道的溫度信息,并具有查看歷史溫度信息功能。

結(jié)語(yǔ)

通過(guò)3個(gè)多月的努力,完成了系統(tǒng)的設(shè)計(jì)。我們充分利用了Actel Fusion開發(fā)板提供的硬件資源,完成整個(gè)系統(tǒng)的搭建。

系統(tǒng)實(shí)現(xiàn)的是同時(shí)對(duì)多路溫度的控制,充分的利用了芯片的處理多路模擬信號(hào)的優(yōu)點(diǎn)。

第5篇:集成電路工藝與設(shè)計(jì)范文

【關(guān)鍵詞】微電子;延伸領(lǐng)域;發(fā)展方向

1.引言

微電子技術(shù)是隨著集成電路,尤其是大規(guī)模集成電路發(fā)展起來(lái)的一門新技術(shù)。微電子產(chǎn)業(yè)包括系統(tǒng)電路設(shè)計(jì),器件物理,工藝技術(shù),材料制備,自動(dòng)測(cè)試及封裝等一系列專門的技術(shù)的產(chǎn)業(yè)。微電子產(chǎn)業(yè)發(fā)展非常迅速,它已經(jīng)滲透到了國(guó)民經(jīng)濟(jì)的各個(gè)領(lǐng)域,特別是以集成電路為關(guān)鍵技術(shù)的電子戰(zhàn)和信息戰(zhàn)都要依托于微電子產(chǎn)業(yè)。

微電子技術(shù)是微電子產(chǎn)業(yè)的核心,是在電子電路和系統(tǒng)的超小型化和微型化的過(guò)程中逐漸形成和發(fā)展起來(lái)的。微電子技術(shù)也是信息技術(shù)的基礎(chǔ)和心臟,是當(dāng)今發(fā)展最快的技術(shù)之一。近年來(lái),微電子技術(shù)已經(jīng)開始向相關(guān)行業(yè)滲透,形成新的研究領(lǐng)域。

2.微電子技術(shù)概述

2.1 認(rèn)識(shí)微電子

微電子技術(shù)的發(fā)展水平已經(jīng)成為衡量一個(gè)國(guó)家科技進(jìn)步和綜合國(guó)力的重要標(biāo)志之一。因此,學(xué)習(xí)微電子,認(rèn)識(shí)微電子,使用微電子,發(fā)展微電子,是信息社會(huì)發(fā)展過(guò)程中,當(dāng)代大學(xué)生所渴求的一個(gè)重要課程。

生活在當(dāng)代的人們,沒(méi)有不使用微電子技術(shù)產(chǎn)品的,如人們每天隨身攜帶的手機(jī);工作中使用的筆記本電腦,乘坐公交、地鐵的IC卡,孩子玩的智能電子玩具,在電視上欣賞從衛(wèi)星上發(fā)來(lái)的電視節(jié)目等等,這些產(chǎn)品與設(shè)備中都有基本的微電子電路。微電子的本領(lǐng)很大,但你要看到它如何工作卻相當(dāng)難,例如有一個(gè)像我們頭腦中起記憶作用的小硅片―它的名字叫存儲(chǔ)器,是電腦的記憶部分,上面有許許多多小單元,它與神經(jīng)細(xì)胞類似,這種小單元工作一次所消耗的能源只有神經(jīng)元的六十分之一,再例如你手中的電話,將你的話音從空中發(fā)射出去并將對(duì)方說(shuō)的話送回來(lái)告訴你,就是靠一種叫“射頻微電子電路”或叫“微波單片集成電路”進(jìn)行工作的。它們會(huì)將你要表達(dá)的信息發(fā)送給對(duì)方,甚至是通過(guò)通信衛(wèi)星發(fā)送到地球上的任何地方。其傳遞的速度達(dá)到300000KM/S,即以光速進(jìn)行傳送,可實(shí)現(xiàn)雙方及時(shí)通信。

“微電子”不是“微型的電子”,其完整的名字應(yīng)該是“微型電子電路”,微電子技術(shù)則是微型電子電路技術(shù)。微電子技術(shù)對(duì)我們社會(huì)發(fā)展起著重要作用,是使我們的社會(huì)高速信息化,并將迅速地把人類帶入高度社會(huì)化的社會(huì)?!靶畔⒔?jīng)濟(jì)”和“信息社會(huì)”是伴隨著微電子技術(shù)發(fā)展所必然產(chǎn)生的。

2.2 微電子技術(shù)的基礎(chǔ)材料――取之不盡的硅

位于元素周期表第14位的硅是微電子技術(shù)的基礎(chǔ)材料,硅的優(yōu)點(diǎn)是工作溫度高,可達(dá)200攝氏度;二是能在高溫下氧化生成二氧化硅薄膜,這種氧化硅薄膜可以用作為雜質(zhì)擴(kuò)散的掩護(hù)膜,從而能使擴(kuò)散、光刻等工藝結(jié)合起來(lái)制成各種結(jié)構(gòu)的電路,而氧化硅層又是一種很好的絕緣體,在集成電路制造中它可以作為電路互聯(lián)的載體。此外,氧化硅膜還是一種很好的保護(hù)膜,它能防止器件工作時(shí)受周圍環(huán)境影響而導(dǎo)致性能退化。第三個(gè)優(yōu)點(diǎn)是受主和施主雜質(zhì)有幾乎相同的擴(kuò)散系數(shù)。這就為硅器件和電路工藝的制作提供了更大的自由度。硅材料的這些優(yōu)越性能促成了平面工藝的發(fā)展,簡(jiǎn)化了工藝程序,降低了制造成本,改善了可靠性,并大大提高了集成度,使超大規(guī)模集成電路得到了迅猛的發(fā)展。

2.3 集成電路的發(fā)展過(guò)程

20世紀(jì)晶體管的發(fā)明是整個(gè)微電子發(fā)展史上一個(gè)劃時(shí)代的突破。從而使得電子學(xué)家們開始考慮晶體管的組合與集成問(wèn)題,制成了固體電路塊―集成電路。從此,集成電路迅速?gòu)男∫?guī)模發(fā)展到大規(guī)模和超大規(guī)模集成電路,如圖1所示。

圖1 集成電路發(fā)展示意圖

集成電路的分類方法很多,按領(lǐng)域可分為:通用集成電路和專用集成電路;按電路功能可分為:數(shù)字集成電路、模擬集成電路和數(shù)?;旌霞呻娐?;按器件結(jié)構(gòu)可分為:MOS集成電路、雙極型集成電路和BiIMOS集成電路;按集成電路集成度可分為:小規(guī)模集成電路SSI、中規(guī)模集成電路MSI、大規(guī)模集成電路LSI、超導(dǎo)規(guī)模集成電路VLSI、特大規(guī)模集成電路ULSI和巨大規(guī)模集成電路CSI。

隨著微電子技術(shù)的發(fā)展,出現(xiàn)了集成電路(IC),集成電路是微電子學(xué)的研究對(duì)象,其正在向著高集成度、低功耗、高性能、高可靠性的方向發(fā)展。

2.4 走進(jìn)人們生活的微電子

IC卡,是現(xiàn)代微電子技術(shù)的結(jié)晶,是硬件與軟件技術(shù)的高度結(jié)合。存儲(chǔ)IC卡也稱記憶IC卡,它包括有存儲(chǔ)器等微電路芯片而具有數(shù)據(jù)記憶存儲(chǔ)功能。在智能IC卡中必須包括微處理器,它實(shí)際上具有微電腦功能,不但具有暫時(shí)或永久存儲(chǔ)、讀取、處理數(shù)據(jù)的能力,而且還具備其他邏輯處理能力,還具有一定的對(duì)外界環(huán)境響應(yīng)、識(shí)別和判斷處理能力。

IC卡在人們工作生活中無(wú)處不在,廣泛應(yīng)用于金融、商貿(mào)、保健、安全、通信及管理等多種方面,例如:移動(dòng)電話卡,付費(fèi)電視卡,公交卡,地鐵卡,電子錢包,識(shí)別卡,健康卡,門禁控制卡以及購(gòu)物卡等等。IC卡幾乎可以替代所有類型的支付工具。

隨著IC技術(shù)的成熟,IC卡的芯片已由最初的存儲(chǔ)卡發(fā)展到邏輯加密卡裝有微控制器的各種智能卡。它們的存儲(chǔ)量也愈來(lái)愈大,運(yùn)算功能越來(lái)越強(qiáng),保密性也愈來(lái)愈高。在一張卡上賦予身份識(shí)別,資料(如電話號(hào)碼、主要數(shù)據(jù)、密碼等)存儲(chǔ),現(xiàn)金支付等功能已非難事,“手持一卡走遍天下”將會(huì)成為現(xiàn)實(shí)。

3.微電子技術(shù)發(fā)展的新領(lǐng)域

微電子技術(shù)是電子科學(xué)與技術(shù)的二級(jí)學(xué)科。電子信息科學(xué)與技術(shù)是當(dāng)代最活躍,滲透力最強(qiáng)的高新技術(shù)。由于集成電路對(duì)各個(gè)產(chǎn)業(yè)的強(qiáng)烈滲透,使得微電子出現(xiàn)了一些新領(lǐng)域。

3.1 微機(jī)電系統(tǒng)

MEMS(Micro-Electro-Mechanical systems)微機(jī)電系統(tǒng)主要由微傳感器、微執(zhí)行器、信號(hào)處理電路和控制電路、通信接口和電源等部件組成,主要包括微型傳感器、執(zhí)行器和相應(yīng)的處理電路三部分,它融合多種微細(xì)加工技術(shù),并將微電子技術(shù)和精密機(jī)械加工技術(shù)、微電子與機(jī)械融為一體的系統(tǒng)。是在現(xiàn)代信息技術(shù)的最新成果的基礎(chǔ)上發(fā)展起來(lái)的高科技前沿學(xué)科。

當(dāng)前,常用的制作MEMS器件的技術(shù)主要由三種:一種是以日本為代表的利用傳統(tǒng)機(jī)械加工手段,即利用大機(jī)械制造小機(jī)械,再利用小機(jī)械制造微機(jī)械的方法,可以用于加工一些在特殊場(chǎng)合應(yīng)用的微機(jī)械裝置,如微型機(jī)器人,微型手術(shù)臺(tái)等。第二種是以美國(guó)為代表的利用化學(xué)腐蝕或集成電路工藝技術(shù)對(duì)硅材料進(jìn)行加工,形成硅基MEMS器件,它與傳統(tǒng)IC工藝兼容,可以實(shí)現(xiàn)微機(jī)械和微電子的系統(tǒng)集成,而且適合于批量生產(chǎn),已成為目前MEMS的主流技術(shù),第三種是以德國(guó)為代表的LIGA(即光刻,電鑄如塑造)技術(shù),它是利用X射線光刻技術(shù),通過(guò)電鑄成型和塑造形成深層微結(jié)構(gòu)的方法,人們已利用該技術(shù)開發(fā)和制造出了微齒輪、微馬達(dá)、微加速度計(jì)、微射流計(jì)等。

MEMS的應(yīng)用領(lǐng)域十分廣泛,在信息技術(shù),航空航天,科學(xué)儀器和醫(yī)療方面將起到分別采用機(jī)械和電子技術(shù)所不能實(shí)現(xiàn)的作用。

3.2 生物芯片

生物芯片(Bio chip)將微電子技術(shù)與生物科學(xué)相結(jié)合的產(chǎn)物,它以生物科學(xué)基礎(chǔ),利用生物體、生物組織或細(xì)胞功能,在固體芯片表面構(gòu)建微分析單元,以實(shí)現(xiàn)對(duì)化合物、蛋白質(zhì)、核酸、細(xì)胞及其他生物組分的正確、快速的檢測(cè)。目前已有DNA基因檢測(cè)芯片問(wèn)世。如Santford和Affymetrize公司制作的DNA芯片包含有600余種DNA基本片段。其制作方法是在玻璃片上刻蝕出非常小的溝槽,然后在溝槽中覆蓋一層DNA纖維,不同的DNA纖維圖案分別表示不同的DNA基本片段。采用施加電場(chǎng)等措施可使一些特殊物質(zhì)反映出某些基因的特性從而達(dá)到檢測(cè)基因的目的。以DNA芯片為代表的生物工程芯片將微電子與生物技術(shù)緊密結(jié)合,采用微電子加工技術(shù),在指甲大小的硅片上制作包含多達(dá)20萬(wàn)種DNA基本片段的芯片。DNA芯片可在極短的時(shí)間內(nèi)檢測(cè)或發(fā)現(xiàn)遺傳基因的變化,對(duì)遺傳學(xué)研究、疾病診斷、疾病治療和預(yù)防、轉(zhuǎn)基因工程等具有極其重要的作用。生物工程芯片是21世紀(jì)微電子領(lǐng)域的一個(gè)熱點(diǎn)并且具有廣闊的應(yīng)用前景。

3.3 納米電子技術(shù)

在半導(dǎo)體領(lǐng)域中,利用超晶格量子阱材料的特性研制出了新一代電子器件,如:高電子遷移晶體管(HEMT),異質(zhì)結(jié)雙極晶體管(HBT),低閾值電流量子激光器等。

在半導(dǎo)體超薄層中,主要的量子效應(yīng)有尺寸效應(yīng)、隧道效應(yīng)和干涉效應(yīng)。這三種效應(yīng),已在研制新器件時(shí)得到不同程度的應(yīng)用。

(1)在FET中,采用異質(zhì)結(jié)構(gòu),利用電子的量子限定效應(yīng),可使施主雜質(zhì)與電子空間分離,從而消除了雜質(zhì)散射,獲得高電子遷移率,這種晶體管,在低場(chǎng)下有高跨度,工作頻率,進(jìn)入毫米波,有極好的噪聲特性。

(2)利用諧振隧道效應(yīng)制成諧振隧道二極管和晶體管。用于邏輯集成電路,不僅可以減小所需晶體管數(shù)目,還有利于實(shí)現(xiàn)低功耗和高速化。

(3)制成新型光探測(cè)器。在量子阱內(nèi),電子可形成多個(gè)能級(jí),利用能級(jí)間躍遷,可制成紅外線探測(cè)器。

利用量子線、量子點(diǎn)結(jié)構(gòu)作激光器的有源區(qū),比量子阱激光器更加優(yōu)越。在量子遂道中,當(dāng)電子通過(guò)隧道結(jié)時(shí),隧道勢(shì)壘兩側(cè)的電位差發(fā)生變化,如果勢(shì)壘的靜電能量的變化比熱能還大,那么就能對(duì)下一個(gè)電子隧道結(jié)起阻礙作用?;谶@一原理,可制作放大器件,振蕩器件或存儲(chǔ)器件。

量子微結(jié)構(gòu)大體分為微細(xì)加工和晶體生長(zhǎng)兩大類。

4.微電子技術(shù)的主要研究方向

目前微電子技術(shù)正朝著三個(gè)方向發(fā)展。第一,繼續(xù)增大晶圓尺寸并縮小特征尺寸。第二,集成電路向系統(tǒng)芯片(system on chip,SOC)方向發(fā)展。第三,微電子技術(shù)與其他領(lǐng)域相結(jié)合將產(chǎn)生新產(chǎn)業(yè)和新學(xué)科,如微機(jī)電系統(tǒng)和生物芯片。隨著微電子學(xué)與其他學(xué)科的交叉日趨深入,相關(guān)的新現(xiàn)象,新材料,新器件的探索日益增加,光子集成如光電子集成技術(shù)也不斷發(fā)展,這些研究的不斷深入,彼此間的交叉融合,將是未來(lái)的研究方向。

參考文獻(xiàn)

[1]高勇,喬世杰,陳曦.集成電路設(shè)計(jì)技術(shù)[M].科學(xué)出版社,2011.

[2]常青,陶華敏,肖山竹,盧煥章.微電子技術(shù)概論[M].國(guó)防工業(yè)出版社,2006.

[3]王穎.集成電路版圖設(shè)計(jì)與TannerEDA工具的使用[M].西安電子科技大學(xué)出版社,2009.

[4]畢克允.微電子技術(shù)[M].國(guó)防工業(yè)出版社,2000.

[5]于寶明,金明.電子信息[M].東南大學(xué)出版社,2010.

[6]王琪民,劉明候.秦豐華.微機(jī)電系統(tǒng)工程基礎(chǔ)[M].中國(guó)科學(xué)技術(shù)大學(xué)出版社,2010.

第6篇:集成電路工藝與設(shè)計(jì)范文

關(guān)鍵詞:嵌入式系統(tǒng);硬件低功耗;軟件低功耗;集成電路工藝

中圖分類號(hào):TP274;TP3680

引 言

經(jīng)過(guò)近幾年的快速發(fā)展,嵌入式系統(tǒng)(Embedded System)已經(jīng)成為電子信息產(chǎn)業(yè)中最具增長(zhǎng)力的一個(gè)分支。隨著手機(jī)、PDA,GPS、機(jī)頂盒等新興產(chǎn)品的大量應(yīng)用,嵌入式系統(tǒng)的市場(chǎng)正在以每年30%的速度遞增(IDC預(yù)測(cè)),嵌入式系統(tǒng)的設(shè)計(jì)也成為軟硬件工程師越來(lái)越關(guān)心的話題。

在嵌入式系統(tǒng)設(shè)計(jì)中,低功耗設(shè)計(jì)(Low Power Design)是許多設(shè)計(jì)人員必須面對(duì)的問(wèn)題。其原因在于嵌入式系統(tǒng)被廣泛應(yīng)用于便攜式和移動(dòng)性較強(qiáng)的產(chǎn)品中,而這些產(chǎn)品不是一直都有充足的電源供應(yīng),往往是靠電池來(lái)供電的;而且大多數(shù)嵌入式設(shè)備都有體積和質(zhì)量的約束。另外,系統(tǒng)部件產(chǎn)生的熱量和功耗成比例,為解決散熱問(wèn)題而采取的冷卻措施進(jìn)一步增加了系統(tǒng)的功耗。為了得到最好的結(jié)果,降低系統(tǒng)的功耗具有下面的優(yōu)點(diǎn):

(1) 電池驅(qū)動(dòng)的需要。在強(qiáng)調(diào)綠色環(huán)保時(shí)期,許多電子產(chǎn)品都采用電池供電。對(duì)于電池供電系統(tǒng),延長(zhǎng)電池壽命,降低用戶更換電池的周期,提高系統(tǒng)性能與降低系統(tǒng)開銷,甚至能起到保護(hù)環(huán)境的作用。

(2) 安全的需要。在現(xiàn)場(chǎng)總線領(lǐng)域,本安問(wèn)題是┮桓霆重要話題。例如FF的本安設(shè)備,理論上每個(gè)網(wǎng)段可以容納32個(gè)設(shè)備,而實(shí)際應(yīng)用中考慮到目前的功耗水平,每個(gè)網(wǎng)段安裝10個(gè)比較合適。因此降低系統(tǒng)功耗是實(shí)現(xiàn)本安要求的一個(gè)重要途徑。

[JP2](3) 解決電磁干擾。系統(tǒng)功耗越低,電磁輻射能量越小,對(duì)其他設(shè)備造成的干擾也越小。如果所有的電子產(chǎn)品都能設(shè)計(jì)成低功耗,那么電磁兼容性設(shè)計(jì)會(huì)變得容易。[JP]

(4) 節(jié)能的需要。特別是對(duì)電池供電系統(tǒng),功耗與電壓的平方成正比即:P=V2fC+P┆static,б虼私諛芨為重要。

1 功耗產(chǎn)生的原因

[BT3]1.1 集成電路的功耗

目前的集成電路工藝主要有TTL和CMOS兩大類,無(wú)論哪種工藝,只要電路中有電流通過(guò),就會(huì)產(chǎn)生功耗。通常,集成電路的功耗主要有4個(gè):

(1) 開關(guān)功耗。對(duì)電路中的電容充放電而形成,其表達(dá)式為:

(2) 靜態(tài)功耗和動(dòng)態(tài)功耗。當(dāng)電路的狀態(tài)沒(méi)有進(jìn)行翻轉(zhuǎn)(保持高電平或低電平)時(shí),電路的功耗屬于靜態(tài)功耗,其大小等于電路電壓與流過(guò)電流的乘積;動(dòng)態(tài)功耗是電路翻轉(zhuǎn)時(shí)產(chǎn)生的功耗,由于電路翻轉(zhuǎn)時(shí)存在跳變沿,在電路翻轉(zhuǎn)瞬間,電流比較大,存在較大的動(dòng)態(tài)功耗。目前大多數(shù)電路都采用CMOS工藝,靜態(tài)功耗很小,可以忽略。起主要作用的是動(dòng)態(tài)功耗,因此從降低動(dòng)態(tài)功耗入手來(lái)降低功耗。

(3) 短路功耗。因開關(guān)時(shí)由電源到地形成的通路造成的,其表達(dá)式為:

(4) 漏電功耗。由亞閾值電流和反向偏壓電流造成。目前大多數(shù)電路都采用CMOS工藝,故漏電功耗很小,可以忽略。

1.2 電阻的功耗和有源器件的功耗

通常為負(fù)載器件和寄生元件產(chǎn)生的功耗。有源開關(guān)器件在狀態(tài)轉(zhuǎn)換時(shí),電流和電壓比較大,將引起功率消耗。另外, CMOS電路中最大的功耗來(lái)自于內(nèi)部和外部的電容充放電產(chǎn)生的功耗。

2 硬件低功耗設(shè)計(jì)

[BT3]2.1 選擇低功耗的器件

選擇低功耗的電子器件可以從根本上降低整個(gè)硬件系統(tǒng)的功耗。目前的半導(dǎo)體工藝主要有TTL工藝和CMOS工藝,CMOS工藝具有很低的功耗,在電路設(shè)計(jì)上盡量選用,使用CMOS系列電路時(shí),其不用的輸入端不要懸空,因?yàn)閼铱盏妮斎攵丝赡艽嬖诟袘?yīng)信號(hào),它將造成高低電平的轉(zhuǎn)換。轉(zhuǎn)換器件的功耗很大,盡量采用輸出為高的原則。

嵌入式處理器是嵌入式系統(tǒng)的硬件核心,消耗大量的功率,因此設(shè)計(jì)時(shí)選用低功耗的處理器;另外,選擇低功耗的通信收發(fā)器(對(duì)于通信應(yīng)用系統(tǒng))、低功耗的訪存部件、低功耗的電路,目前許多通信收發(fā)器都設(shè)計(jì)成節(jié)省功耗方式,這樣的器件優(yōu)先采用。

2.2 選用低功耗的電路形式

完成同樣的功能,電路的實(shí)現(xiàn)形式有多種。例如,可以利用分立元件、小規(guī)模集成電路,大規(guī)模集成電路甚至單片實(shí)現(xiàn)。通常,使用的元器件數(shù)量越少,系統(tǒng)的功耗越低。因此,盡量使用集成度高的器件,以減少電路中使用元件的個(gè)數(shù),減少整機(jī)的功耗。

2.3 單電源、低電壓供電

一些模擬電路如運(yùn)算放大器等,供電方式有正負(fù)電源和單電源兩種。雙電源供電可以提供對(duì)地輸出的信號(hào)。高電源電壓的優(yōu)點(diǎn)是可以提供大的動(dòng)態(tài)范圍,缺點(diǎn)是功耗大。例如,低功耗集成運(yùn)算放大器LM324,單電源電壓工作范圍為5~30 V。當(dāng)電源電壓為15 V時(shí),功耗約為220 mW;當(dāng)電源電壓為10 V時(shí),功耗約為90 mW;當(dāng)電源電壓為5 V時(shí),功耗約為15 mW??梢?低電壓供電對(duì)降低器件功耗的作用十分明顯。因此,處理小信號(hào)的電路可以降低供電電壓。

2.4 分區(qū)/分時(shí)供電技術(shù)

一個(gè)嵌入式系統(tǒng)的所有組成部分并非時(shí)刻在工作,基于此,可采用分時(shí)/分區(qū)的供電技術(shù)。原理是利用“開關(guān)”控制電源供電單元,在某一部分電路處于休眠狀態(tài)時(shí),關(guān)閉其供電電源,僅保留工作部分的電源。

2.5 I/O引腳供電

嵌入式處理器的輸出引腳在輸出高電平時(shí),可以提供約20 mA的電流,該引腳可以直接作為某些電路的供電電源使用,如圖2所示。處理器的引腳輸出高電平時(shí),外部器件工作;輸出低電平時(shí),外部器件停止工作。需要注意,該電路需滿足下列要求:外部器件的功耗較低,低于處理器I/O引腳的高電平輸出電流;外部器件的供電電壓范圍較寬。

2.6 電源管理單元設(shè)計(jì)

處理器全速工作時(shí),功耗最大;待機(jī)狀態(tài)時(shí),功耗比較小。常見的待機(jī)方式有兩種:空閑方式(Idle)和掉電方式(Shut Down)。其中,Idle方式可以通過(guò)中斷的發(fā)生退出,中斷可以由外部事件供給。掉電方式指的是處理器停止,連中斷也不響應(yīng),因此需要進(jìn)入復(fù)位才能退出掉電方式。

為了降低系統(tǒng)的功耗,一旦CPU處于“空轉(zhuǎn)”,可以使之進(jìn)入Idle狀態(tài),降低功耗;期間如果發(fā)生了外部事件,可以通過(guò)事件產(chǎn)生中斷信號(hào),使CPU進(jìn)入運(yùn)行狀態(tài)。對(duì)于Shut Down狀態(tài),只能用復(fù)位信號(hào)喚醒CPU。

2.7 智能電源設(shè)計(jì)

既要保證系統(tǒng)具有良好的性能,又能兼顧功耗問(wèn)題,一個(gè)最好的辦法是采用智能電源。在系統(tǒng)中增加適當(dāng)?shù)闹悄茴A(yù)測(cè)、檢測(cè),根據(jù)需要對(duì)系統(tǒng)采取不同的供電方式,以求系統(tǒng)的功耗最低。許多膝上型電腦的電源管理采用智能電源,以筆記本電腦為例,在電源管理方面,Intel公司采取Speed Step技術(shù);AMD公司采取Power Now技術(shù);Transmeta公司采取Long Run技術(shù)。雖然這三種技術(shù)涉及到的具體內(nèi)容不同,但基本原理是一致的。以采用Speed Step技術(shù)的筆記本電腦為例,系統(tǒng)可以根據(jù)不同的使用環(huán)境對(duì)CPU的運(yùn)行速度進(jìn)行合理調(diào)整。如果系統(tǒng)使用外接電源,CPU將按照正常的主頻率及電壓運(yùn)行;當(dāng)檢測(cè)到系統(tǒng)為電池供電時(shí),軟件將自動(dòng)切換CPU的主頻率及電壓至較低狀態(tài)運(yùn)行。

2.8 降低處理器的時(shí)鐘頻率

處理器的功耗與時(shí)鐘頻率密切相關(guān)。以SAMSUNG S3C2410X (32 b ARM 920T內(nèi)核)為例[8],它提供了四種工作模式:正常模式、空閑模式、休眠模式、關(guān)機(jī)模式,各種模式的功耗如表1所示。[HJ1][HJ]

由表1可見,CPU在全速運(yùn)行的時(shí)候比在空閑或者休眠的時(shí)候消耗的功率大得多。省電的原則就是讓正常運(yùn)行模式遠(yuǎn)比空閑、休眠模式少占用時(shí)間。在類似PDA的設(shè)備中,系統(tǒng)在全速運(yùn)行的時(shí)候遠(yuǎn)比空閑的時(shí)候少,所以可以通過(guò)設(shè)置,使CPU盡可能工作在空閑狀態(tài),然后通過(guò)相應(yīng)的中斷喚醒 CPU,恢復(fù)到正常工作模式,處理響應(yīng)的事件,然后再進(jìn)入空閑模式。因此設(shè)計(jì)系統(tǒng)時(shí),如果處理能力許可,可盡量降低處理器的時(shí)鐘頻率。

另外,可以動(dòng)態(tài)改變處理器的時(shí)鐘,以降低系統(tǒng)的總功耗。CPU空閑時(shí),降低時(shí)鐘頻率;處于工作狀態(tài)時(shí),提高時(shí)鐘頻率以全速運(yùn)行處理事務(wù),實(shí)現(xiàn)這一技術(shù)的方法。通過(guò)將I/O引腳設(shè)定為輸出高電平,加入電阻R1,將增加時(shí)鐘頻率;將I/O引腳輸出低電平,去掉電阻R1,可降低時(shí)鐘頻率,以降低功耗。

2.9 降低持續(xù)工作電流

在一些系統(tǒng)中,盡量使系統(tǒng)在狀態(tài)轉(zhuǎn)換時(shí)消耗電流,在維持工作時(shí)期不消耗電流。例如,IC卡水表、煤氣表、靜態(tài)電能表等,在打開和關(guān)閉開關(guān)時(shí)給相應(yīng)的機(jī)構(gòu)上電,開關(guān)開和關(guān)狀態(tài)通過(guò)機(jī)械機(jī)構(gòu)或磁場(chǎng)機(jī)制保持開關(guān)的狀態(tài),而不通過(guò)電流保持,可以進(jìn)一步降低電能的消耗。[JP]

3 軟件低功耗設(shè)計(jì)

3.1 編譯低功耗優(yōu)化技術(shù)

編譯技術(shù)降低系統(tǒng)功耗是基于這樣的事實(shí):對(duì)于實(shí)現(xiàn)同樣的功能,不同的軟件算法,消耗的時(shí)間不同,使用的指令不同,因而消耗的功率也不同。對(duì)于使用高級(jí)語(yǔ)言,由于是面向問(wèn)題設(shè)計(jì)的,很難控制低功耗。但是,如果利用匯編語(yǔ)言開發(fā)系統(tǒng)(如對(duì)于小型的嵌入式系統(tǒng)開發(fā)),可以有意識(shí)地選擇消耗時(shí)間短的指令和設(shè)計(jì)消耗功率小的算法來(lái)降低系統(tǒng)的功耗。

3.2 硬件軟件化與軟件硬件化

通常的硬件電路一定消耗功率,基于此,可以減少系統(tǒng)的硬件電路,把數(shù)據(jù)處理功能用軟件實(shí)現(xiàn),如許多儀表中用到的對(duì)數(shù)放大電路、抗干擾電路,測(cè)量系統(tǒng)中用軟件濾波代替硬件濾波器等。

需要考慮,軟件處理需要時(shí)間,處理器也需要消耗功率,特別是在處理大量數(shù)據(jù)的時(shí)候,需要高性能的處理器,這可能會(huì)消耗大量的功率。因此,系統(tǒng)中某一功能用軟件實(shí)現(xiàn),還是用硬件實(shí)現(xiàn),需要綜合計(jì)算后進(jìn)行設(shè)計(jì)。3.3 采用快速算法

數(shù)字信號(hào)處理中的運(yùn)算,采用如FFT和快速卷積等,可以大量節(jié)省運(yùn)算時(shí)間,從而減少功耗;在精度允許的情況下,使用簡(jiǎn)單函數(shù)代替復(fù)雜函數(shù)作近似,也是減少功耗的一種方法。

3.4 軟件設(shè)計(jì)采用中斷驅(qū)動(dòng)技術(shù)

整個(gè)系統(tǒng)軟件設(shè)計(jì)成處理多個(gè)事件,在系統(tǒng)上電初始化時(shí),主程序只進(jìn)行系統(tǒng)的初始化,包括寄存器、外部設(shè)備等,初始化完成后,進(jìn)入低功耗狀態(tài),然后CPU控制的設(shè)備都接到中斷輸入端上。當(dāng)外設(shè)發(fā)生了一個(gè)事件,產(chǎn)生中斷信號(hào),使CPU退出節(jié)電狀態(tài),進(jìn)入事件處理,事件處理完成后,繼續(xù)進(jìn)入節(jié)電狀態(tài)。

第7篇:集成電路工藝與設(shè)計(jì)范文

[關(guān)鍵詞]集成電路布圖設(shè)計(jì),法律保護(hù),知識(shí)產(chǎn)權(quán)

一、引言:保護(hù)的意義

集成電路,按照《簡(jiǎn)明大不列顛百科全書》的解釋,是指利用不同的加工工藝,在一塊連續(xù)不斷的襯底材料上同時(shí)做出大量的晶體管、電阻和二極管等電路元件,并將它們進(jìn)行互聯(lián)。[1]1958年,世界上第一塊集成電路誕生,引發(fā)出一場(chǎng)新的工業(yè)革命。集成電路的發(fā)明和發(fā)展,導(dǎo)致了現(xiàn)代電子信息技術(shù)的興起。在當(dāng)代世界新科技革命發(fā)展進(jìn)程中,以集成電路為基礎(chǔ)、以計(jì)算機(jī)和通訊技術(shù)為主體的電子信息是最活躍的先導(dǎo)技術(shù),同時(shí)又是一種嶄新的具有巨大潛力的生產(chǎn)力。而從生產(chǎn)的規(guī)模和市場(chǎng)的效應(yīng)來(lái)看,2000年世界上集成電路的銷售額約為2000億美元,目前世界集成電路的人均消費(fèi)量大約為20-30塊。[2]中國(guó)的集成電路產(chǎn)業(yè)起步于60年代,雖然在發(fā)展速度上滯后于發(fā)達(dá)國(guó)家,但也已經(jīng)初具規(guī)模并在不斷壯大之中。有人認(rèn)為,“集成電路工業(yè)不僅是現(xiàn)代國(guó)際技術(shù)經(jīng)濟(jì)競(jìng)爭(zhēng)的制高點(diǎn),而且是影響各國(guó)未來(lái)‘球籍’的基本因素。如果把石油比作近現(xiàn)代工業(yè)的血液的話,那么完全可以把小小的芯片(集成電路)比作先導(dǎo)和超現(xiàn)代工業(yè)和生活的某種‘母體’,它是一個(gè)國(guó)家高附加值收益的富源,也是其綜合國(guó)力的基石?!盵3]因此,從國(guó)家的產(chǎn)業(yè)政策導(dǎo)向來(lái)看,我們需要為集成電路工業(yè)的發(fā)展提供制度上的激勵(lì),而最根本的促進(jìn)措施就是在集成電路的最初開發(fā)完成(形成布圖設(shè)計(jì))的時(shí)候賦予開發(fā)者一定的權(quán)利,使相關(guān)保護(hù)可以延及于其后的生產(chǎn)過(guò)程。

而從動(dòng)態(tài)的市場(chǎng)交易層面來(lái)考察,我們也可以發(fā)現(xiàn)對(duì)集成電路布圖設(shè)計(jì)進(jìn)行保護(hù)的意義。依照科斯定理,技術(shù)發(fā)展與創(chuàng)新的背后是巨大而復(fù)雜的創(chuàng)造性勞動(dòng)投入與資本投入,這需要仰仗市場(chǎng)來(lái)收回成本與獲取收益,而一個(gè)重要的前提是解決市場(chǎng)交易雙方的產(chǎn)權(quán)問(wèn)題。[4]這一點(diǎn)不僅對(duì)含有集成電路的最終產(chǎn)品是重要的,對(duì)作為中間產(chǎn)品的集成電路布圖設(shè)計(jì)同樣重要。因?yàn)樵谏鐣?huì)化大生產(chǎn)的條件下,專業(yè)的分工越來(lái)越細(xì)致,交易不只是在產(chǎn)品最終完成之后才發(fā)生,而是與生產(chǎn)的過(guò)程相交織。例如一個(gè)手機(jī)的生產(chǎn)廠商可能只進(jìn)行各個(gè)部件的組裝,而核心的芯片以及其他的外殼等可能都是由別的開發(fā)商完成的。因此在這里明確集成電路布圖設(shè)計(jì)的知識(shí)產(chǎn)權(quán)就是非常重要的,實(shí)際上這也是任何涉及基礎(chǔ)性技術(shù)的生產(chǎn)領(lǐng)域必然要首先解決的問(wèn)題。

對(duì)集成電路布圖設(shè)計(jì)進(jìn)行保護(hù)的另一個(gè)基本考慮是維護(hù)投資者的利益。這也是當(dāng)代知識(shí)產(chǎn)權(quán)立法的一個(gè)漸變的趨勢(shì),在數(shù)據(jù)庫(kù)保護(hù)和藥品專利授予等方面也有所體現(xiàn)。集成電路布圖設(shè)計(jì)的創(chuàng)造是一個(gè)以大量資金為依托、以相當(dāng)?shù)闹橇ν度霝橹鲗?dǎo)、以豐富的相關(guān)技術(shù)來(lái)支撐,并仍然有失敗風(fēng)險(xiǎn)的研發(fā)過(guò)程。[5]而新產(chǎn)品一旦上市,不法廠商利用先進(jìn)的設(shè)備和技術(shù),對(duì)該芯片進(jìn)行解剖、顯微拍照、逐層腐蝕和分析,或者利用激光技術(shù)逐層掃描、拍照,將芯片的布圖設(shè)計(jì)復(fù)制出來(lái),很快就能仿制出該芯片并大量生產(chǎn),并以較低的價(jià)格占領(lǐng)原開發(fā)者的市場(chǎng)。[6]在這種情況下,知識(shí)產(chǎn)權(quán)法應(yīng)當(dāng)為付出大量投資和智力勞動(dòng)并最早生產(chǎn)出有益的集成電路產(chǎn)品的主體提供恰當(dāng)?shù)谋Wo(hù)。

對(duì)集成電路布圖設(shè)計(jì)進(jìn)行法律保護(hù)的意義還在于通過(guò)國(guó)際貿(mào)易學(xué)習(xí)和研究國(guó)外先進(jìn)的集成電路技術(shù),減少我國(guó)產(chǎn)業(yè)發(fā)展的成本。如何在落后的高新技術(shù)領(lǐng)域?qū)崿F(xiàn)突破,真正利用好后發(fā)優(yōu)勢(shì),是每一個(gè)發(fā)展中國(guó)家都必須審慎考慮的問(wèn)題。筆者個(gè)人以為,在集成電路技術(shù)領(lǐng)域我們可以采用“欲擒故縱”的策略。首先明確我們保護(hù)集成電路布圖設(shè)計(jì)知識(shí)產(chǎn)權(quán)的立場(chǎng),然后利用“反向工程”進(jìn)行我們自己的創(chuàng)新。當(dāng)然,這種創(chuàng)新的實(shí)行以及其后對(duì)創(chuàng)新產(chǎn)品的布圖設(shè)計(jì)保護(hù)還需要我們的企業(yè)加強(qiáng)法律意識(shí)投資,與外國(guó)廠商合作時(shí)簽訂明確的合同,避免不必要的利益糾紛。在這方面,國(guó)家專用集成電路系統(tǒng)工程研究中心的實(shí)踐已經(jīng)提供了較好的可資借鑒的經(jīng)驗(yàn)。[7

第8篇:集成電路工藝與設(shè)計(jì)范文

過(guò)去幾年中全球IC產(chǎn)業(yè)一直處于優(yōu)質(zhì)發(fā)展態(tài)勢(shì),不僅產(chǎn)業(yè)發(fā)展穩(wěn)定,而且增長(zhǎng)迅速,隨著制造業(yè)大規(guī)模向中國(guó)大陸地區(qū)轉(zhuǎn)移,中國(guó)也順利成章地成為IC產(chǎn)業(yè)的消費(fèi)制造集中地。分析機(jī)構(gòu)指出,2010年,整個(gè)遠(yuǎn)東地區(qū)(不含日本)IC市場(chǎng)規(guī)模將占全球60%,市場(chǎng)規(guī)模達(dá)到2794億美元,中國(guó)將占其中的50%以上;到2012年,整個(gè)遠(yuǎn)東地區(qū)的IC市場(chǎng)規(guī)模將達(dá)到3342億美元,占全球市場(chǎng)份額2/3。實(shí)際上,2007年中國(guó)IC市場(chǎng)發(fā)展遠(yuǎn)遠(yuǎn)超出預(yù)期的650億美元,據(jù)工信部統(tǒng)計(jì),2007年,中國(guó)集成電路進(jìn)口額達(dá)到1284億美元,其中約70%以上用于出口產(chǎn)品加工,已經(jīng)占據(jù)全球市場(chǎng)的34%。而同期石油進(jìn)口為862億美元,農(nóng)產(chǎn)品411億美元,鐵礦砂為308億美元,集成電路的進(jìn)口額分別是石油的1.5倍、農(nóng)產(chǎn)品3.1倍、鐵礦砂的4.2倍。我國(guó)已經(jīng)成為全球最大的IC貿(mào)易國(guó)。使用這些IC制造的各式電子產(chǎn)品2007年實(shí)現(xiàn)銷售額約8000億美元,以銷售額排名、前4位分別是手機(jī)、網(wǎng)絡(luò)交換設(shè)備、平板電視、筆記本電腦。其中3件屬于消費(fèi)電子產(chǎn)品,可見消費(fèi)電子仍是IC產(chǎn)業(yè)的主要推動(dòng)力。

回顧過(guò)去20年半導(dǎo)體IC產(chǎn)業(yè)的發(fā)展,產(chǎn)業(yè)鏈從最初的垂直整合到現(xiàn)在的水平整合軌跡清晰。一方面,隨著競(jìng)爭(zhēng)的加劇,產(chǎn)品利潤(rùn)下降,IC產(chǎn)業(yè)開始大規(guī)模重組整合,2006年-2007年,合并、收購(gòu)、重組的新聞不絕于耳。飛利浦半導(dǎo)體被私募基金105億美元收購(gòu)就是很鮮明的例子;另一方面,制造工藝不斷演進(jìn),從90nm、65nm、45nm到未來(lái)的32nm、22nm,使得一些原本擁有雄厚實(shí)力的芯片設(shè)計(jì)公司放棄了IC制造封測(cè)的環(huán)節(jié),比如TI在前不久就宣布32nm之后將不再涉足IC制造,到了32nm、22nm階段,垂直整合型的IC制造公司可能只會(huì)剩下Intel一家?,F(xiàn)在,中國(guó)已經(jīng)成為全球最大的集成電路的市場(chǎng),份額進(jìn)一步的擴(kuò)大,雖然在IC設(shè)計(jì)領(lǐng)域我國(guó)還十分薄弱,但是IC產(chǎn)業(yè)無(wú)疑是我國(guó)對(duì)外貿(mào)易的支柱產(chǎn)業(yè)之一。中國(guó)是全球集成電路產(chǎn)業(yè)轉(zhuǎn)移的目的地,全球范圍來(lái)看,芯片制造將向少數(shù)大廠集中,F(xiàn)abless而將成為主要的商業(yè)模式。

集成電路技術(shù)發(fā)展驅(qū)動(dòng)力的變遷

20世紀(jì)60年代,戈登?摩爾提出了著名的“摩爾定律”。直到現(xiàn)在,這一定律都在見證半導(dǎo)體產(chǎn)業(yè)的飛速發(fā)展。由于晶體管特征尺寸的減小,可以帶來(lái)集成電路密度和性能上的提高,以及分?jǐn)傇趩卧δ苌铣杀镜南陆?。因此,自集成電路誕生之日起,半導(dǎo)體產(chǎn)業(yè)的競(jìng)爭(zhēng)就始終聚焦在加工尺寸的微細(xì)化上。自從上世紀(jì)80年代,CMOS(互補(bǔ)金屬氧化物半導(dǎo)體)工藝成為主流工藝技術(shù)之后,CMOS一直捍衛(wèi)著摩爾定律。然而,芯片的進(jìn)一步小型化遇到越來(lái)越多的技術(shù)局限。在傳統(tǒng)硅芯片技術(shù)上所能取得的進(jìn)步受到物理法則的限制也越來(lái)越嚴(yán)重,隨著集成電路的主流加工工藝進(jìn)入納米級(jí)(

CMOS工藝遵循等比例縮小的原則,其特征尺寸已從20世紀(jì)50年代初期的約125μm進(jìn)化到現(xiàn)在的90nm技術(shù)代,在集成電路工業(yè)大生產(chǎn)中獲得了巨大成功。然而,當(dāng)器件特征尺寸縮小到65nm技術(shù)以后,繼續(xù)縮小加工尺寸將遇到一系列器件物理的限制和互連問(wèn)題的嚴(yán)重影響:從器件角度看,納米尺度CMOS器件中的短溝效應(yīng)、強(qiáng)場(chǎng)效應(yīng)、量子效應(yīng)、寄生參量的影響、工藝參數(shù)漲落等問(wèn)題對(duì)器件泄漏電流、亞閾值斜率、開態(tài)/關(guān)態(tài)電流等性能的影響越來(lái)越突出,電路速度和功耗的矛盾也將更加嚴(yán)重。隨著集成度和工作頻率增加,功率密度增大,導(dǎo)致芯片過(guò)熱,可引起電路失效。另一方面,進(jìn)入納米尺度后,互連電阻及互連電容不僅對(duì)電路速度的影響更為明顯,而且會(huì)對(duì)信號(hào)完整性產(chǎn)生影響,逐漸成為影響電路最終性能的重要因素。

將CMOS技術(shù)推到現(xiàn)在的極限上,現(xiàn)在的技術(shù)或者工藝和材料都要發(fā)生巨大的變化,需要很多的努力,目前科學(xué)家們正在努力,前景不可預(yù)知。然而,就IC產(chǎn)業(yè)來(lái)講,CMOS工藝技術(shù)的不斷改進(jìn)接近極限能夠繼續(xù)維持對(duì)收益的貢獻(xiàn)嗎?我們來(lái)看表4、5

從晶圓的價(jià)格表中,我們可以很清楚地看到,當(dāng)IC制造工藝從130nm轉(zhuǎn)為90nm的時(shí)候,成本成本可以降低33%,到65nm成本可以下降25%,但是再往后,工藝的進(jìn)步對(duì)成本的貢獻(xiàn)就大幅下降,到22nm功率時(shí),成本僅僅比32nm下降了3.3%,幾乎沒(méi)什么貢獻(xiàn)!此外,從晶體管的密度來(lái)看,130nm~22nm,每平方毫米晶體管的平均數(shù)量,從94K增加到1566K,這是一個(gè)很驚人的密度,但與此同時(shí)晶體管的利用率卻在下降,從86%下降到了51%。那么問(wèn)題出來(lái)了,CMOS工藝技術(shù)進(jìn)步使成本下降幅度有限,同時(shí)晶體管的利用率在下降,那么等比例縮小的經(jīng)濟(jì)價(jià)值體現(xiàn)在什么地方呢?與此同時(shí),IC設(shè)計(jì)業(yè)者也明顯發(fā)現(xiàn),伴隨著IC制造工藝的進(jìn)步,在IC設(shè)計(jì)制造過(guò)程中,制造、封測(cè)的成本在緩慢下降,但是研發(fā)成本在不斷上升,從130nm~22nm,IC設(shè)計(jì)成翻了一倍。這將使得設(shè)計(jì)工具和設(shè)計(jì)人員變得越來(lái)越重要,系統(tǒng)設(shè)計(jì)人員的理念也將因此而發(fā)生巨大轉(zhuǎn)變。

由此可見,在未來(lái)的十幾年中,技術(shù)儲(chǔ)備將能夠保證摩爾定律繼續(xù)前進(jìn),但是工藝進(jìn)步、功耗的降低對(duì)IC產(chǎn)品成本的貢獻(xiàn)將變得越來(lái)越有限,雖然新工藝、更窄的線寬是惹眼的賣點(diǎn),但不要對(duì)新工藝的附加價(jià)值報(bào)太大期望。研發(fā)成本將占到銷售額的30%,這使得創(chuàng)新的架、具有創(chuàng)新精神的IC設(shè)計(jì)人員與和創(chuàng)新的IC設(shè)計(jì)工具變得尤為重要。

低功耗設(shè)計(jì)需要EDA工具的全力配合

1984年出現(xiàn)第一個(gè)商用的設(shè)計(jì)IC的EDA工具

1986年出現(xiàn)第一個(gè)真正意義上商用EDA工具供應(yīng)商Tangent

1988年Cadence公司成立,不久以后收購(gòu)Tangent

20世紀(jì)80年代末期到90年代初,工藝慢慢過(guò)度到0.75μm,Cadence開始迅速增長(zhǎng),同時(shí)Biopolar工藝開始接近極限,CMOS工藝展露嶄露頭角,在0.35μm工藝時(shí)期,Cadence在EDA設(shè)計(jì)工具領(lǐng)域占有絕對(duì)優(yōu)勢(shì)

20世紀(jì)90年代中期,隨著PC的迅速發(fā)展,CMOS工藝開始朝向0.35μm發(fā)展

Arcsys(就是后來(lái)的Avant)、Synopsys公司相繼出現(xiàn),開始在0.35μm~0.25μm工藝領(lǐng)域發(fā)力

Cadence和Avant公司開始了長(zhǎng)期的專利訴訟(最終勝訴),但在0.25μm工藝階段,Cadence市場(chǎng)份額大幅下滑

世紀(jì)交替之初,工藝過(guò)度到0.18μm,Magma公司出現(xiàn),很大程度上是因?yàn)樵摴驹赥iming-Driven Layout技術(shù)方面占據(jù)領(lǐng)先。

早期的IC設(shè)計(jì)EDA工具基本圍繞著Palace & Route發(fā)展,隨著工藝的進(jìn)步,Timing & Verification、RET/DFM都在影響著今天的IC設(shè)計(jì)。消費(fèi)電子產(chǎn)品成為IC設(shè)計(jì)的新驅(qū)動(dòng)力已經(jīng)獲得廣泛共識(shí),這使得功耗問(wèn)題和產(chǎn)品上市時(shí)間成為困擾設(shè)計(jì)人員的最主要問(wèn)題,實(shí)際上,今天面臨的問(wèn)題與上世紀(jì)80、90年代交替時(shí)遇到的問(wèn)題相似,功率密度不能有效控制導(dǎo)致工藝停滯不前,迫使業(yè)界從Biopolar技術(shù)向CMOS工藝轉(zhuǎn)移。而今天面對(duì)同樣的工藝問(wèn)題,在目前還沒(méi)有一個(gè)可替代的技術(shù)的情況下,EDA設(shè)計(jì)工具將扮演非常重要的角色,現(xiàn)在的EDA工具很大程度上仍然圍繞在Palace & Route這一問(wèn)題附近,如果要進(jìn)一步降低IC的功耗,就需要在更高的設(shè)計(jì)鏈層面進(jìn)行綜合考慮,從這點(diǎn)上說(shuō)EDA工具需要有長(zhǎng)足的進(jìn)步。盡管針對(duì)低功耗和快速上世需求的EDA工具、解決方案不斷推出,但是核心問(wèn)題――低功耗設(shè)計(jì)在EDA層面仍然有許多工作要做。

盡管從全球范圍來(lái)看半導(dǎo)體工藝和技術(shù)的演進(jìn)腳步有暫時(shí)放緩的跡象、次貸危機(jī)延長(zhǎng)了產(chǎn)業(yè)調(diào)整的周期,但是換一個(gè)角度來(lái)考慮,這不正是我國(guó)IC設(shè)計(jì)業(yè)者的一次機(jī)會(huì)嗎?一方面巨大的需求和產(chǎn)業(yè)的轉(zhuǎn)移使得本土IC設(shè)計(jì)業(yè)者能夠更加貼近客戶,另一方面,EDA設(shè)計(jì)工具的緩慢發(fā)展和芯片設(shè)計(jì)成本的上升,給了設(shè)計(jì)人員展示自己的更大舞臺(tái)。本土設(shè)計(jì)人員可以藉此機(jī)會(huì)消化、吸收先進(jìn)的設(shè)計(jì)思想,掌握先進(jìn)的設(shè)計(jì)工具,拉近與其他競(jìng)爭(zhēng)對(duì)手的差距,提高我國(guó)的IC設(shè)計(jì)水平。早日把我國(guó)從IC消費(fèi)大國(guó)變成IC設(shè)計(jì)、消費(fèi)大國(guó)。

新聞

捷碼科技推出自動(dòng)平面布局綜合產(chǎn)品Hydra

第9篇:集成電路工藝與設(shè)計(jì)范文

中圖分類號(hào):TN432 文獻(xiàn)標(biāo)識(shí)碼:A 文章編號(hào):1009-914X(2015)44-0267-02

一、引言

隨著砷化鎵集成電路工藝的技術(shù)革新,尤其是新型的E/D PHEMT工藝平臺(tái)的出現(xiàn)和成熟,使得多種器件可以在同一個(gè)標(biāo)準(zhǔn)工藝平臺(tái)上被加工出來(lái),0.5um線條的E/D PHEMT砷化鎵材料技術(shù)及工藝可將增強(qiáng)型和耗盡型器件集成在同一個(gè)晶圓上,可將多種不同功能的電路集成到在一顆芯片上,這也是目前射頻前端簡(jiǎn)化設(shè)計(jì)的主流趨勢(shì)。

二、電路設(shè)計(jì)

該芯片應(yīng)用于滿足802.11 b/g/n標(biāo)準(zhǔn)的無(wú)線局域網(wǎng),用于無(wú)線射頻信號(hào)的收發(fā)[1],該芯片內(nèi)部電路主要包含SP3T開關(guān)、2.4~2.5GHz低噪聲放大器、SPST旁路開關(guān)和用于驅(qū)動(dòng)低噪放和開關(guān)的邏輯轉(zhuǎn)換電路。

2.1 射頻開關(guān)設(shè)計(jì)

在本電路中,射頻開關(guān)部分的主要作用是切換射頻支路并隔離各個(gè)通道干擾,本芯片中的開關(guān)電路主要有兩部分,一部分是切換RX、TX、BT到天線ANT支路的SP3T開關(guān),另外一部分是用于旁路LNA的SPST開關(guān)。由于本電路的核心指標(biāo)為接收通道的噪聲系數(shù)和發(fā)射通道的功率容量,因此插損和功率處理能力指標(biāo)是開關(guān)電路中FET管尺寸優(yōu)化選擇的主要依據(jù)。

在確定選擇雙柵結(jié)構(gòu)的器件基礎(chǔ)上,再根據(jù)插損指標(biāo)和飽和電流優(yōu)化器件尺寸,0.5um柵長(zhǎng)的D-FET飽和電流約為230mA/mm,按照電流有效值和特性阻抗乘積約等于有效功率的計(jì)算方法,1mm以上的器件可以滿足28dBm左右的功率處理能力要求,然后根據(jù)foundry提供的砷化鎵雙柵結(jié)構(gòu)場(chǎng)效應(yīng)管兩端口等效開關(guān)模型來(lái)仿真插損指標(biāo)。

2.2 低噪聲放大器設(shè)計(jì)

用于接收支路最前級(jí)的低噪聲放大器是影響接收信號(hào)靈敏度的最關(guān)鍵元器件,本設(shè)計(jì)中,接收支路的低噪聲放大器的設(shè)計(jì)決定了整個(gè)電路的噪聲系數(shù)和增益,為了使用方便,低噪放的前后級(jí)匹配電路全部在片上實(shí)現(xiàn),且本工藝平臺(tái)中E-mode PHEMT器件具有正向開啟電壓的特點(diǎn),有利于單電源工作,因此選用E-mode器件作為低噪放的核心有源器件。

由于設(shè)計(jì)要求所有匹配電路都集成在片上,因此整個(gè)芯片的布局較為緊湊。如果選用片上平面螺旋電感,在該頻段,電感所占面積較大,損耗較大,影響噪聲系數(shù)性能,因此,最終選用體電阻作為柵極偏置電路元件,并根據(jù)晶體管尺寸大小和電路進(jìn)一步優(yōu)化選擇合適的阻值,以同時(shí)達(dá)到扼流和選擇工作點(diǎn)的作用,經(jīng)過(guò)ADS仿真,電阻值選擇4.5K歐姆左右,柵極工作點(diǎn)在+0.4V,工作電流約為15mA。

此外,在低噪聲有源偏置電路設(shè)計(jì)中考慮了一個(gè)溫度補(bǔ)償作用,如下圖1所示,Q1和Q2組合成標(biāo)準(zhǔn)的電流鏡電路,R1電阻分壓起負(fù)反饋?zhàn)饔?,為低噪聲放大器提供穩(wěn)定的Vgs。由于有源偏置電路的晶體管和低噪聲放大器的晶體管有相同的加工工藝與過(guò)程,因此具有相類似的溫度特性,這就使得溫度變化時(shí)電流鏡電路 Vbias和 Vgs 能夠互相制約[2]。

2.3 邏輯電路設(shè)計(jì)

驅(qū)動(dòng)電路部分采用的是經(jīng)典的DCFL式邏輯電路,這種電路其中具有構(gòu)成器件少、級(jí)間可直接耦合、單一電源工作以及功耗低等優(yōu)點(diǎn)[3],可降低砷化鎵邏輯電路規(guī)模。

倒相器的上升時(shí)間和下降時(shí)間由負(fù)載管和驅(qū)動(dòng)管的電流能力來(lái)決定,也即是由兩個(gè)管子的寬長(zhǎng)比來(lái)決定,這樣,通過(guò)計(jì)算不同寬長(zhǎng)比時(shí)的上升下降時(shí)間,就可以得到滿足設(shè)計(jì)要求所需的器件尺寸。本電路中實(shí)際設(shè)計(jì)的邏輯電路包含倒相電路和一個(gè)三輸入與門的功能,如下圖2所示。

在驅(qū)動(dòng)電路設(shè)計(jì)中,選擇適當(dāng)?shù)碾娮韬偷瓜嚯娐酚性雌骷叽绲谋壤P(guān)系,可以優(yōu)化控制電平的高低門限。本設(shè)計(jì)中,在保證承受發(fā)射功率所需工作電壓的前提下,電路可滿足0/2.8V-3.3V驅(qū)動(dòng)信號(hào)標(biāo)準(zhǔn)。

三、封裝及測(cè)試結(jié)果

針對(duì)該芯片的主要用途在于WIFI無(wú)線傳輸系統(tǒng)中的收發(fā)終端設(shè)備中,設(shè)計(jì)人員開發(fā)了適用于該芯片管腳功能的QFN1.5mmX1.5mm-12L的小尺寸塑封形式,根據(jù)管腳定義,合理的分配了Leadframe支架結(jié)構(gòu),在使得芯片內(nèi)部良好接地的同時(shí),又保證了芯片封裝尺寸的余量,同時(shí)開發(fā)了彈簧接觸式測(cè)試夾具,可做到進(jìn)行無(wú)損傷外觀測(cè)試。

小信號(hào)主要性能如下表1所示:

四、結(jié)論

采用0.5um線條的砷化鎵PHEMT E/D-mode工藝設(shè)計(jì)的2.4GHz WIFI用接收前端集成電路,具有增益高、噪聲低、發(fā)射損耗小、功耗低等優(yōu)點(diǎn)。在2.2-2.6GHz工作頻率范圍內(nèi),增益大于12.5dB,噪聲系數(shù)小于2dB,輸入輸出電壓駐波比小于2:1,發(fā)射通道和藍(lán)牙通道插損小于0.7dB,發(fā)射通道和藍(lán)牙通道功率容量大于+28dBm,并集成驅(qū)動(dòng)器和匹配電路,使用方便,適用于滿足802.11 b/g/n協(xié)議下的2.4GHz WIFI無(wú)線傳輸系統(tǒng)。

參考文獻(xiàn)

[1] RTC6627,Highly integrated,Receive Path Front End Module, Data Sheet,RichWave.