公務(wù)員期刊網(wǎng) 精選范文 電子設(shè)計(jì)應(yīng)用范文

電子設(shè)計(jì)應(yīng)用精選(九篇)

前言:一篇好文章的誕生,需要你不斷地搜集資料、整理思路,本站小編為你收集了豐富的電子設(shè)計(jì)應(yīng)用主題范文,僅供參考,歡迎閱讀并收藏。

電子設(shè)計(jì)應(yīng)用

第1篇:電子設(shè)計(jì)應(yīng)用范文

【關(guān)鍵詞】汽車電子設(shè)計(jì)仿真技術(shù)應(yīng)用

仿真技術(shù)是一門利用系統(tǒng)模型對(duì)實(shí)際或設(shè)想的系統(tǒng)進(jìn)行動(dòng)態(tài)驗(yàn)證的技術(shù),仿真技術(shù)綜合控制論、系統(tǒng)論、相似原理和信息技術(shù),以計(jì)算機(jī)和專用設(shè)備為工具,能夠模擬汽車或飛機(jī)駕駛訓(xùn)練,在汽車工業(yè)中有著廣泛的應(yīng)用。現(xiàn)代的汽車制造業(yè),對(duì)電子設(shè)備依賴程度越來(lái)越大,隨著人們對(duì)汽車安全性能要求不斷提高,仿真技術(shù)在汽車電子設(shè)計(jì)中得到了廣泛應(yīng)用。仿真技術(shù)在汽車電子設(shè)計(jì)中的應(yīng)用,縮短了汽車電子系統(tǒng)的研發(fā)周期,提高了汽車電子系統(tǒng)設(shè)計(jì)的安全系數(shù),并幫助工程師在電子系統(tǒng)應(yīng)用于實(shí)踐之前發(fā)現(xiàn)問(wèn)題,大大的提高了汽車電子系統(tǒng)的研發(fā)效率,推進(jìn)了汽車工業(yè)的發(fā)展。

1仿真技術(shù)概述

1.1仿真技術(shù)在汽車電子設(shè)計(jì)中的應(yīng)用優(yōu)勢(shì)

信息時(shí)代的來(lái)臨,汽車中應(yīng)用的電子設(shè)備越來(lái)越多。電子設(shè)備在汽車中的應(yīng)用,不僅提高了汽車的安全系數(shù),還優(yōu)化了汽車的駕駛體驗(yàn),推動(dòng)了汽車行業(yè)的發(fā)展。但在汽車新產(chǎn)品研發(fā)時(shí),卻由于汽車電子系統(tǒng)研發(fā)成本過(guò)高,限制了汽車行業(yè)的發(fā)展。仿真技術(shù)的出現(xiàn),為汽車電子系統(tǒng)設(shè)計(jì)提供了強(qiáng)大的工具。利用仿真技術(shù),工程師可以利用計(jì)算機(jī)和仿真軟件,來(lái)模擬汽車的運(yùn)行狀態(tài),并在虛擬現(xiàn)實(shí)中對(duì)汽車電子系統(tǒng)的設(shè)計(jì)進(jìn)行仿真驗(yàn)證。這一研發(fā)模式,極大的縮短了汽車電子系統(tǒng)的研發(fā)周期,并降低了汽車電子系統(tǒng)研發(fā)的資金投入量。我國(guó)作為發(fā)展中國(guó)家,近年來(lái)汽車工業(yè)的飛速發(fā)展正是基于仿真技術(shù)的應(yīng)用實(shí)現(xiàn)的。隨著計(jì)算機(jī)互聯(lián)網(wǎng)技術(shù)的發(fā)展,仿真技術(shù)在汽車研發(fā)和制造領(lǐng)域的技術(shù)優(yōu)勢(shì)更是與日凸顯,如何在汽車電子設(shè)計(jì)中應(yīng)用好仿真技術(shù),已經(jīng)成為決定汽車制造企業(yè)研發(fā)能力的關(guān)鍵之一。

1.2SABER仿真軟件與汽車電子設(shè)計(jì)

SABER仿真軟件是美國(guó)Analogy公司于1987年推出的模擬機(jī)混合信號(hào)仿真軟件,該軟件能夠同時(shí)對(duì)模擬信號(hào)、事件驅(qū)動(dòng)模擬信號(hào)、數(shù)字信號(hào)和模數(shù)混合信號(hào)設(shè)備進(jìn)行仿真,被廣泛應(yīng)用于電子學(xué)、電力電子學(xué)、電機(jī)工程、機(jī)械工程、水利、光學(xué)和控制系統(tǒng)等研發(fā)和數(shù)據(jù)采樣中。該系統(tǒng)在汽車電子設(shè)計(jì)中的應(yīng)用,代表著我國(guó)汽車電子設(shè)計(jì)技術(shù)進(jìn)入新的階段。SABER軟件能夠分析從SOC到大型系統(tǒng)之間的設(shè)計(jì),并模擬數(shù)字電路及混合電路,通過(guò)仿真內(nèi)核的運(yùn)算和模擬,給出與真實(shí)實(shí)驗(yàn)相似的實(shí)驗(yàn)數(shù)據(jù),從而幫助設(shè)計(jì)人員檢驗(yàn)設(shè)計(jì)方案。在現(xiàn)代汽車制造工業(yè)中,包括ABS防抱死系統(tǒng)、安全氣囊系統(tǒng)、發(fā)動(dòng)機(jī)控制系統(tǒng)、車身控制系統(tǒng)均能夠運(yùn)用SABER仿真軟件進(jìn)行仿真檢驗(yàn),幫助設(shè)計(jì)人員發(fā)現(xiàn)設(shè)計(jì)中存在的問(wèn)題。該系統(tǒng)目前已經(jīng)被很多西方汽車制造研發(fā)企業(yè)定位行業(yè)標(biāo)準(zhǔn),推動(dòng)了我國(guó)汽車制造業(yè)和世界汽車制造業(yè)的技術(shù)發(fā)展。

2汽車電子設(shè)計(jì)中仿真技術(shù)的運(yùn)用

汽車電子設(shè)計(jì)中仿真技術(shù)的運(yùn)用,主要分為建立數(shù)學(xué)模型、系統(tǒng)原理仿真和仿真模型的檢驗(yàn)修改三個(gè)階段。通過(guò)在汽車電子設(shè)計(jì)中應(yīng)用仿真技術(shù),有效的降低了汽車電子系統(tǒng)設(shè)計(jì)驗(yàn)證的資金投入,并提高了工程師的工作效率和質(zhì)量。

2.1建立數(shù)學(xué)模型

數(shù)學(xué)模型的建立,是運(yùn)用仿真系統(tǒng)設(shè)計(jì)和檢驗(yàn)汽車電子系統(tǒng)的第一步,也是最基礎(chǔ)和最關(guān)鍵的一步。計(jì)算機(jī)仿真軟件的根本運(yùn)行原理就是將實(shí)際系統(tǒng)運(yùn)行的規(guī)律轉(zhuǎn)為數(shù)學(xué)方式,即微分和差分方程來(lái)表達(dá)。為了實(shí)現(xiàn)對(duì)汽車電子系統(tǒng)的發(fā)展檢驗(yàn),在建立數(shù)學(xué)模型時(shí),需要將系統(tǒng)原理圖中的所有部件及其運(yùn)動(dòng)狀態(tài)轉(zhuǎn)化為數(shù)學(xué)模型,轉(zhuǎn)化完成的數(shù)學(xué)模型綜合到一起,才能形成一組模擬仿真系統(tǒng)。因此,在建立數(shù)學(xué)模型中,零部件的數(shù)學(xué)建模質(zhì)量將直接影響到仿真系統(tǒng)的真實(shí)性,并決定了仿真系統(tǒng)的運(yùn)算結(jié)果是否與系統(tǒng)的實(shí)際運(yùn)行相似。為了提高數(shù)學(xué)模型的建立效率,工程師在建立一系列數(shù)學(xué)模型時(shí),會(huì)對(duì)相似的元件歸類為同一種數(shù)學(xué)模型,這樣雖然會(huì)拉大仿真軟件與現(xiàn)實(shí)的差距,但卻能夠極大的縮短開(kāi)發(fā)周期,實(shí)現(xiàn)開(kāi)發(fā)成本的節(jié)約。

2.2系統(tǒng)原理的仿真

汽車電子設(shè)計(jì)中應(yīng)用仿真技術(shù)時(shí),是采用計(jì)算機(jī)程序運(yùn)算,來(lái)模擬汽車電子系統(tǒng)中各個(gè)子系統(tǒng)和零部件在工作中的電壓、電流、功率等參數(shù)變化,通過(guò)對(duì)這些參數(shù)變化的波形分析,來(lái)找到實(shí)際試驗(yàn)與模擬仿真質(zhì)檢單額區(qū)別,從而發(fā)現(xiàn)涉及疏漏或問(wèn)題,對(duì)電子系統(tǒng)的設(shè)計(jì)實(shí)施改進(jìn)措施。在汽車電子系統(tǒng)設(shè)計(jì)中,仿真系統(tǒng)能夠?qū)崿F(xiàn)對(duì)雙電壓系統(tǒng)、供電系統(tǒng)、起動(dòng)機(jī)和發(fā)電機(jī)系統(tǒng)以及雙電壓42V系統(tǒng)的仿真驗(yàn)證。汽車的雙電壓系統(tǒng)設(shè)計(jì),將汽車的高電壓設(shè)備和低電壓設(shè)備區(qū)分開(kāi)來(lái),不僅是保證汽車安全性的關(guān)鍵,還是降低汽車能耗的重要技術(shù)。通過(guò)運(yùn)用仿真技術(shù),能夠?qū)﹄p電壓系統(tǒng)的運(yùn)行效率和安全性進(jìn)行驗(yàn)證,以確保汽車電子設(shè)計(jì)中雙電壓系統(tǒng)的安全性和可靠性。14V電壓系統(tǒng)主要用于各控制單元,該系統(tǒng)對(duì)波形有極高的要求。如果14V電壓系統(tǒng)存在問(wèn)題,將其應(yīng)用于汽車時(shí)將會(huì)由于峰值電壓和電流產(chǎn)生的脈動(dòng),對(duì)蓄電池造成干擾,發(fā)生蓄電池電位波動(dòng)性變化,導(dǎo)致其他控制系統(tǒng)的控制信號(hào)失靈,給汽車的行車安全帶來(lái)致命的威脅。運(yùn)用仿真軟件,能夠檢驗(yàn)14V系統(tǒng)對(duì)蓄電池干擾的影響度,確保其不會(huì)影響到控制系統(tǒng)的工作,避免由于電位波動(dòng)導(dǎo)致控制系統(tǒng)失靈。起動(dòng)機(jī)和發(fā)電機(jī)由于都具有相同的轉(zhuǎn)矩特性,所以可以設(shè)計(jì)一種設(shè)備集合這兩種機(jī)器的特點(diǎn)。如果設(shè)計(jì)成功,并投入大規(guī)模的生產(chǎn)中,則可以獲得的利益是難以想象的。這種將兩種設(shè)備集于一體的技術(shù)是科學(xué)家努力創(chuàng)新而創(chuàng)造出來(lái)的財(cái)富。這種系統(tǒng)可以讓發(fā)動(dòng)機(jī)在很快的時(shí)間內(nèi)啟動(dòng),并且發(fā)動(dòng)機(jī)啟動(dòng)以后,切換到發(fā)電的模式,使汽車的重啟動(dòng)更加容易。雙電壓系統(tǒng)中的42v供電系統(tǒng),如果在設(shè)計(jì)中電子元件選擇錯(cuò)誤,將會(huì)由于電壓隨轉(zhuǎn)速變化的提高,導(dǎo)致電子元器件被燒毀,帶來(lái)巨大的行車安全隱患。而采用模擬仿真技術(shù),則能夠測(cè)試電子元器件的所需承受的電壓峰值,更加科學(xué)的進(jìn)行選擇,保證系統(tǒng)安全。

2.3仿真系統(tǒng)的檢驗(yàn)和修改

仿真系統(tǒng)只是運(yùn)用計(jì)算機(jī)技術(shù)和數(shù)學(xué)原理對(duì)汽車電子設(shè)計(jì)的運(yùn)行狀態(tài)進(jìn)行模擬實(shí)驗(yàn),其與實(shí)際試驗(yàn)還是有很大差距的。因此,在運(yùn)用SABER軟件中,需要通過(guò)嚴(yán)謹(jǐn)?shù)膶?shí)驗(yàn)對(duì)比,確定仿真結(jié)果與現(xiàn)實(shí)存在的差距,并在此基礎(chǔ)上對(duì)電子設(shè)計(jì)進(jìn)行優(yōu)化,以不斷提高汽車電子系統(tǒng)設(shè)計(jì)的質(zhì)量,促進(jìn)汽車工業(yè)的發(fā)展。綜上所述,在現(xiàn)如今的汽車研發(fā)與制造中,運(yùn)用仿真技術(shù)對(duì)設(shè)計(jì)方案進(jìn)行檢驗(yàn)和修改,已經(jīng)成為汽車研發(fā)的必須技術(shù)之一。近年來(lái),我國(guó)汽車工業(yè)發(fā)展迅速,這離不開(kāi)我國(guó)汽車電子設(shè)計(jì)中仿真技術(shù)應(yīng)用的日漸成熟。相信隨著仿真技術(shù)的發(fā)展,我國(guó)汽車研發(fā)和制造將會(huì)迎來(lái)新一輪的發(fā)展,為我國(guó)經(jīng)濟(jì)的發(fā)展做出更大的貢獻(xiàn)。

參考文獻(xiàn):

[1]張珂.汽車電子設(shè)計(jì)中仿真技術(shù)的應(yīng)用分析[J].中國(guó)電子商情:科技創(chuàng)新,2014(12):33~33.

第2篇:電子設(shè)計(jì)應(yīng)用范文

關(guān)鍵詞:EDA技術(shù);現(xiàn)代電子設(shè)計(jì);應(yīng)用

引言

EDA技術(shù)是上世紀(jì)90年代飛速發(fā)展起來(lái)的一項(xiàng)新型技術(shù),是現(xiàn)代電子設(shè)計(jì)新的發(fā)展潮流,其是基于計(jì)算機(jī)工作平臺(tái),綜合了計(jì)算機(jī)技術(shù)、電子技術(shù)、智能化技能等一系列技術(shù)達(dá)成電子產(chǎn)品的自動(dòng)化設(shè)計(jì)。同時(shí),EDA技術(shù)是當(dāng)今信息化時(shí)展的必然趨勢(shì),其應(yīng)用日趨廣泛,涉及信息、通訊、半導(dǎo)體、電子零組件等多個(gè)行業(yè),是現(xiàn)代電子設(shè)計(jì)的核心,在現(xiàn)代電子設(shè)計(jì)中發(fā)揮著至關(guān)重要的作用[1]。由此可見(jiàn),對(duì)EDA技術(shù)在現(xiàn)代電子設(shè)計(jì)中的應(yīng)用開(kāi)展研究,有著十分重要的現(xiàn)實(shí)意義。

1 EDA技術(shù)概述

1.1 EDA技術(shù)

EDA(Electronics Design Automation),即電子設(shè)計(jì)自動(dòng)化,EDA技術(shù)是現(xiàn)代電子技術(shù)的主要發(fā)展趨勢(shì),在電子技術(shù)、仿真模擬工作中扮演著十分重要的角色。在電子設(shè)計(jì)技術(shù)中,將可編程邏輯器件應(yīng)用于系統(tǒng)中可很大程度提高電子設(shè)計(jì)工作靈活性,可編程邏輯期間在軟件編程過(guò)程中重構(gòu)器件的結(jié)構(gòu)、運(yùn)行方式,進(jìn)一步使設(shè)計(jì)硬件靈活性得到顯著改善??删幊踢壿嬈骷?yīng)用結(jié)構(gòu)原理、運(yùn)行方式等的不斷發(fā)展,使以往的數(shù)字系統(tǒng)設(shè)計(jì)理念、方法、過(guò)程等均實(shí)現(xiàn)了轉(zhuǎn)變,一定水平上促進(jìn)了現(xiàn)代電子技術(shù)的革新。在可編程邏輯器件相關(guān)技術(shù)越來(lái)越成熟及計(jì)算機(jī)技術(shù)飛速發(fā)展背景下,EDA技術(shù)逐漸在電子設(shè)計(jì)領(lǐng)域中得到廣泛推廣。EDA技術(shù)基于計(jì)算機(jī)上的EDA工具軟件平臺(tái)實(shí)現(xiàn)設(shè)計(jì)文件過(guò)程中依托硬件描述語(yǔ)言開(kāi)展系統(tǒng)邏輯描述。EDA技術(shù)幫助設(shè)計(jì)人員通過(guò)硬件描述語(yǔ)言、電子設(shè)計(jì)自動(dòng)化等實(shí)現(xiàn)對(duì)系統(tǒng)硬件功能的設(shè)計(jì)工作,其可自動(dòng)實(shí)現(xiàn)邏輯分割、邏輯編譯、布局布線等功能,進(jìn)一步促進(jìn)電子線路系統(tǒng)功能的全面達(dá)成[2]。

1.2 EDA技術(shù)發(fā)展

伴隨計(jì)算機(jī)技術(shù)、電子系統(tǒng)設(shè)計(jì)技術(shù)以及集成電路技術(shù)的不斷進(jìn)步,為EDA技術(shù)發(fā)展創(chuàng)造了良好契機(jī),EDA技術(shù)的發(fā)展、推廣,不僅顯著縮短了產(chǎn)品的開(kāi)發(fā)周期,還極大水平改善了產(chǎn)品的性能及價(jià)格比。EDA技術(shù)發(fā)展,具體可劃分成四個(gè)階段:

(1)上世紀(jì)70年代――計(jì)算機(jī)輔助設(shè)計(jì)階段,這一發(fā)展階段主要體現(xiàn)于CAD技術(shù)方面,計(jì)算機(jī)輔助設(shè)計(jì)得到了一定的推廣。人們逐步以計(jì)算機(jī)作為輔助開(kāi)展IC版圖編輯、PCB布局布線等工作,取代了過(guò)去的手工作業(yè)方式。于此階段手工繪圖方式得到了一定優(yōu)化,進(jìn)而在計(jì)算機(jī)輔助設(shè)計(jì)發(fā)展作用上得到了有效凸顯。

(2)80年代――計(jì)算機(jī)輔助工程階段,該階段是在上一階段基礎(chǔ)上引入一系列新型應(yīng)用功能,在具備圖形繪制功能的同時(shí),還增添了電路功能設(shè)計(jì)及結(jié)構(gòu)設(shè)計(jì),并且通過(guò)電氣連接網(wǎng)絡(luò)表實(shí)現(xiàn)了兩者的有效結(jié)合。計(jì)算機(jī)輔助工程主要功能包括:原理圖輸入、邏輯仿真、自動(dòng)布局布線以及電路分析等。在這一系列功能應(yīng)用上,通過(guò)將原理圖、邏輯圖等用以重要應(yīng)用內(nèi)容,實(shí)現(xiàn)了設(shè)計(jì)功能的進(jìn)一步豐富。

(3)90年代――電子系統(tǒng)設(shè)計(jì)自動(dòng)化階段,該階段電子設(shè)計(jì)自動(dòng)化目標(biāo)得以實(shí)現(xiàn),可經(jīng)由高級(jí)描述語(yǔ)言及系統(tǒng)識(shí)別仿真等優(yōu)勢(shì)開(kāi)展應(yīng)用,極大水平改善了設(shè)計(jì)的效率。

(4)現(xiàn)代EAD技術(shù)即為將計(jì)算機(jī)作為工具,基于EDA軟件平臺(tái),結(jié)合硬件描述語(yǔ)言實(shí)現(xiàn)的設(shè)計(jì)文件,可自動(dòng)實(shí)現(xiàn)用軟件方式描述的電子系統(tǒng)到硬件系統(tǒng)的邏輯仿真、布局布線、邏輯綜合等,進(jìn)而實(shí)現(xiàn)對(duì)相關(guān)目標(biāo)芯片邏輯映射、適配編譯等操作[3]。

2 EDA技術(shù)在現(xiàn)代電子設(shè)計(jì)中的應(yīng)用作用及意義

2.1 EDA技術(shù)在現(xiàn)代電子設(shè)計(jì)中的應(yīng)用作用

憑借EDA技術(shù)廣泛的應(yīng)用范圍,將其應(yīng)用于現(xiàn)代電子設(shè)計(jì)中,可起到一系列的作用。對(duì)于現(xiàn)代電子設(shè)計(jì)而言,相對(duì)流行的編程方式即為無(wú)線編程、在線編程,而EDA技術(shù)不僅能夠充分適應(yīng)電子設(shè)計(jì)的發(fā)展,還可促進(jìn)達(dá)成無(wú)障礙編程,在編程過(guò)程中的保密性還能夠得到有效保障。EDA技術(shù)還有著十分顯著的可靠性,可有效解決電子設(shè)計(jì)中復(fù)位障礙、跑飛等問(wèn)題。還可于集成、壓縮功能應(yīng)用情況下,完成對(duì)電子產(chǎn)品系統(tǒng)向某一芯片中的有效集成,如此可為設(shè)計(jì)管理實(shí)踐帶來(lái)極為便利,促進(jìn)對(duì)電子設(shè)計(jì)風(fēng)險(xiǎn)控制工作的開(kāi)展,還可使電子設(shè)計(jì)可靠性得到有效保障。除此之外,EDA技術(shù)在現(xiàn)代電子設(shè)計(jì)中的應(yīng)用,還可收獲極高的效率,可達(dá)成多任務(wù)同時(shí)運(yùn)行的目的。在EDA技術(shù)應(yīng)用實(shí)踐中,可于多模塊功能應(yīng)用情況下,有效加快電子設(shè)計(jì)速度及改善子設(shè)計(jì)效率水平,推動(dòng)電子設(shè)計(jì)工作進(jìn)一步朝信息市場(chǎng)化方向發(fā)展。另外,EDA技術(shù)還具備一定的適應(yīng)性,通過(guò)對(duì)其高速、高效及大容量等特點(diǎn)的有效成效,積極促進(jìn)電子設(shè)計(jì)的創(chuàng)新升級(jí)。EDA技術(shù)的一系列特征優(yōu)勢(shì)的凸顯可積極促進(jìn)現(xiàn)代電子設(shè)計(jì)的有序發(fā)展。

2.2 EDA技術(shù)在現(xiàn)代電子設(shè)計(jì)中的應(yīng)用意義

電子技術(shù)是一項(xiàng)有著極強(qiáng)專業(yè)性的技術(shù),現(xiàn)階段用于電子技術(shù)設(shè)計(jì)中的軟件多種多樣,經(jīng)由選取適用的應(yīng)用軟件,便可有效改善電子技術(shù)設(shè)計(jì)效率。EDA技術(shù)在現(xiàn)代電子設(shè)計(jì)中的應(yīng)用有著十分重要的意義,EDA技術(shù)是將計(jì)算機(jī)用以主要平臺(tái),然后將一系列相關(guān)技術(shù)開(kāi)展綜合應(yīng)用。對(duì)于現(xiàn)代電子設(shè)計(jì)而言,EDA技術(shù)是發(fā)展的新潮流,具備各式各樣優(yōu)勢(shì)作用發(fā)揮,將其應(yīng)用于現(xiàn)代電子設(shè)計(jì)中可收獲諸多便利。伴隨EDA技術(shù)的逐步發(fā)展進(jìn)步,無(wú)不為現(xiàn)代電子設(shè)計(jì)帶來(lái)新的轉(zhuǎn)變,可有效改善全面電子技術(shù)設(shè)計(jì)效率水平,因此將EDA技術(shù)應(yīng)用于電子技術(shù)設(shè)計(jì)中十分重要。

3 EDA技術(shù)的要點(diǎn)內(nèi)容

ESDA可算得上是現(xiàn)代電子設(shè)計(jì)的最新發(fā)展方向,可將其理解為:設(shè)計(jì)人員依據(jù)自頂向下設(shè)計(jì)方法,對(duì)全面電子系統(tǒng)開(kāi)展方案規(guī)劃及功能劃分,系統(tǒng)的關(guān)鍵電路通過(guò)一片或者幾片特定集成電路(ASIC)達(dá)成,然后依托硬件描述語(yǔ)言開(kāi)展系統(tǒng)行為級(jí)設(shè)計(jì),最后經(jīng)由適配器、綜合其得到最終目標(biāo)器件。該種設(shè)計(jì)方法可稱之為高層次電子設(shè)計(jì)方法。

3.1 自頂向下設(shè)計(jì)方法

對(duì)于自頂向下設(shè)計(jì)方法而言,第一步要從系統(tǒng)設(shè)計(jì)展開(kāi),于頂層開(kāi)展功能方框圖劃分及結(jié)構(gòu)制定。于方框圖一級(jí)開(kāi)展仿真、糾錯(cuò),同時(shí)選取硬件描述語(yǔ)言對(duì)高層次系統(tǒng)行為開(kāi)展描述,于系統(tǒng)一級(jí)開(kāi)展驗(yàn)證。緊接著選取綜合優(yōu)化工具得出對(duì)應(yīng)門電路網(wǎng)表,網(wǎng)表相關(guān)的物理實(shí)現(xiàn)級(jí)既可以是印刷電路板,又可以是專用集成電路。設(shè)計(jì)的主要仿真、調(diào)試過(guò)程是于高層次上實(shí)現(xiàn)的,如此不僅可為盡早覺(jué)察結(jié)構(gòu)設(shè)計(jì)中的錯(cuò)誤提供便利,提高設(shè)計(jì)工作效率,還可減輕邏輯功能仿真的工作量,提升系統(tǒng)設(shè)計(jì)一次成功率[4]。

3.2 硬件描述語(yǔ)言

硬件描述語(yǔ)言指的是一類開(kāi)展電子系統(tǒng)硬件設(shè)計(jì)的計(jì)算機(jī)語(yǔ)言,其借助軟件編程來(lái)對(duì)電子系統(tǒng)中各項(xiàng)內(nèi)容開(kāi)展有效描述,諸如電子系統(tǒng)的連接形式、電路結(jié)合以及邏輯功能等。近年來(lái),在大型電子系統(tǒng)設(shè)計(jì)中硬件描述語(yǔ)言得到廣泛應(yīng)用。上世紀(jì)80年代美國(guó)國(guó)防部研發(fā)出高速集成電路硬件描述語(yǔ)言,以作用于對(duì)EDA產(chǎn)品不兼容問(wèn)題進(jìn)行解決,此外還可作用于開(kāi)展多層次設(shè)計(jì)。IEEE利用高速集成電路硬件描述語(yǔ)言對(duì)過(guò)去硬件描述語(yǔ)言一系列功能予以了覆蓋。IEEE作為一類全方位的硬件描述語(yǔ)言,其涵蓋了多個(gè)設(shè)計(jì)層次,諸如邏輯門級(jí)、系統(tǒng)行為級(jí)以及寄存器傳輸?shù)龋⑶疫€支持多種不同形式對(duì)全面項(xiàng)目開(kāi)展混合描述。高速集成電路硬件描述語(yǔ)言一方面具備極佳的移植性,一方面其的設(shè)計(jì)還為工藝間轉(zhuǎn)換提供了極大便利,同時(shí)高速集成電路硬件描述語(yǔ)言使得設(shè)計(jì)人員主要工作轉(zhuǎn)變?yōu)殚_(kāi)展實(shí)現(xiàn)與調(diào)試系統(tǒng)功能。

3.3 ASIC設(shè)計(jì)

面對(duì)電子系統(tǒng)集成電路中存在的各式各樣問(wèn)題,包括可靠性不足、功耗大以及體積大等,可于集成電路設(shè)計(jì)過(guò)程中引入ASIC芯片開(kāi)展解決。伴隨現(xiàn)代電子產(chǎn)品市場(chǎng)需求的逐步嚴(yán)苛,ASIC芯片可劃分成全定制ASIC、半定制ASIC以及可編程ASIC。在對(duì)全定制ASIC芯片進(jìn)行設(shè)計(jì)過(guò)程中,設(shè)計(jì)人員要對(duì)芯片上全面晶體管幾何圖形、工藝規(guī)則予以界定,然后把設(shè)計(jì)成果轉(zhuǎn)交給IC生產(chǎn)商掩膜制造,如此可最大限度的確保ASIC芯片獲取最理想的性能,進(jìn)一步實(shí)現(xiàn)高效、高利用率以及低能耗的目的。

4 EDA技術(shù)電子設(shè)計(jì)流程

EDA技術(shù)是一項(xiàng)系統(tǒng)級(jí)的設(shè)計(jì)技術(shù),是一類層次比較高的電子設(shè)計(jì)手段,該項(xiàng)應(yīng)用技術(shù)基于概念驅(qū)動(dòng),確保電子設(shè)計(jì)工作人員在設(shè)計(jì)過(guò)程中無(wú)需對(duì)門級(jí)原理圖開(kāi)展利用,工作人員在確立設(shè)計(jì)目標(biāo)后便可應(yīng)用EDA技術(shù)對(duì)電路予以描述,如此一方面可有效縮減電路西決的制約,一方面可有效強(qiáng)化設(shè)計(jì)人員設(shè)計(jì)創(chuàng)造水平[5]。EDA系統(tǒng)支持設(shè)計(jì)人員把概念構(gòu)思、高層次描述輸入進(jìn)計(jì)算機(jī)后,基于系統(tǒng)規(guī)則實(shí)現(xiàn)對(duì)電子產(chǎn)品的設(shè)計(jì)。就EDA技術(shù)電子設(shè)計(jì)流程而言,主要可劃分為系y劃分、圖形或者VHDL輸入、代碼級(jí)功能仿真、適配前時(shí)序仿真及ASIC實(shí)現(xiàn)等,具體而言:(1)電子設(shè)計(jì)通過(guò)文本或圖形編輯器對(duì)設(shè)計(jì)描述予以呈現(xiàn),即為實(shí)現(xiàn)設(shè)計(jì)表述;(2)電子設(shè)計(jì)通過(guò)編譯器對(duì)設(shè)計(jì)開(kāi)展錯(cuò)排編譯,也就是輸入硬件描述語(yǔ)言程序;(3)設(shè)計(jì)人員對(duì)硬件、軟件開(kāi)展溝通,為達(dá)成功能仿真提供便利,也就是綜合;(4)在仿真設(shè)計(jì)檢測(cè)滿意后,借助FPGA開(kāi)展邏輯映射操作,即為編程下載,由此系統(tǒng)級(jí)設(shè)計(jì)便宣告結(jié)束。EDA技術(shù)電子設(shè)計(jì)流程,如圖1所示。

5 EDA技術(shù)的應(yīng)用

近年來(lái),EDA技術(shù)得到飛速發(fā)展,在諸多領(lǐng)域的電子系統(tǒng)設(shè)計(jì)工作得到廣泛推廣,包括通訊、教學(xué)、醫(yī)學(xué)、航天、國(guó)家計(jì)算機(jī)應(yīng)用、工業(yè)生產(chǎn)等等,并發(fā)揮著十分重要的作用。

5.1 EDA技術(shù)在通訊中的應(yīng)用

EDA技術(shù)在科研研究中的應(yīng)用,主要借助電路仿真工具開(kāi)展電路設(shè)計(jì)、仿真;借助虛擬設(shè)備開(kāi)展產(chǎn)品調(diào)節(jié)試用;在儀器設(shè)備中應(yīng)用FPGA器件開(kāi)發(fā)。對(duì)于CDMA無(wú)線通信系統(tǒng)而言,全面無(wú)線基站、移動(dòng)手機(jī)均于同一頻譜下運(yùn)行,為了對(duì)各種呼叫進(jìn)行區(qū)分,各部手機(jī)均有著一個(gè)特有的碼序列,CDMA基站唯有對(duì)多種觀點(diǎn)碼序列進(jìn)行有效判定,方可對(duì)不同傳呼進(jìn)程開(kāi)展分辨,而此處的判定是經(jīng)由匹配濾波器輸出呈現(xiàn)于輸入數(shù)據(jù)流中探測(cè)到的特定碼序列。FPGA可提供適用的濾波器設(shè)計(jì),同時(shí)還具備DSP高級(jí)數(shù)據(jù)處理功能,所以FPGA在現(xiàn)代通訊領(lǐng)域中得到廣泛推廣。

5.2 EDA技術(shù)在生物醫(yī)學(xué)工程中的應(yīng)用

EDA技術(shù)是電子設(shè)計(jì)的重要工具,不管是芯片設(shè)計(jì),還是系統(tǒng)設(shè)計(jì),倘若未有得到EDA工具的支持,均將無(wú)法實(shí)現(xiàn)。近年來(lái),生物醫(yī)學(xué)工程領(lǐng)域?qū)DA技術(shù)進(jìn)行了引入,該項(xiàng)技術(shù)一方面可促進(jìn)對(duì)人體血壓、心率等生理信號(hào)展開(kāi)更為準(zhǔn)確的檢測(cè),一方面可經(jīng)由相關(guān)設(shè)計(jì)達(dá)成對(duì)生理信號(hào)的濾波、醫(yī)學(xué)圖像檢測(cè)等處理,使得生理信號(hào)更具臨床使用價(jià)值。所以,EDA技術(shù)在生物醫(yī)學(xué)工程領(lǐng)域有著十分可觀的發(fā)展前景。

5.3 EDA技術(shù)在產(chǎn)品設(shè)計(jì)、生產(chǎn)中的應(yīng)用

無(wú)論是數(shù)字信號(hào)處理器、性能極佳的微處理器,還是電子電路、冰箱、電視機(jī)等,EDA技術(shù)不僅應(yīng)用于前期計(jì)算機(jī)模擬仿真、產(chǎn)品調(diào)試,還應(yīng)用于電子設(shè)備的研發(fā)、制造,電路板焊接等一系列環(huán)節(jié),并在其中發(fā)揮著至關(guān)重要的作用。某種意義上而言,EDA技術(shù)已然轉(zhuǎn)變成電子工業(yè)領(lǐng)域中必不可少的一部分。

6 結(jié)束語(yǔ)

總而言之,EDA技術(shù)是當(dāng)今信息化時(shí)展的必然趨勢(shì),其應(yīng)用日趨廣泛,涉及信息、通訊、半導(dǎo)體、電子零組件等多個(gè)行業(yè),是現(xiàn)代電子設(shè)計(jì)的核心,在現(xiàn)代電子設(shè)計(jì)中發(fā)揮著至關(guān)重要的作用。伴隨EDA技術(shù)的日趨成熟,其將進(jìn)一步推進(jìn)電子產(chǎn)業(yè)及電子設(shè)計(jì)領(lǐng)域的技術(shù)變革,將進(jìn)一步提升電子設(shè)計(jì)水平。鑒于此,相關(guān)人員務(wù)必要清楚認(rèn)識(shí)EDA技術(shù)在現(xiàn)代電子設(shè)計(jì)中的應(yīng)用作用及意義,強(qiáng)化EDA技術(shù)在現(xiàn)代電子設(shè)計(jì)中的科學(xué)合理應(yīng)用,不斷鉆研研究、總結(jié)經(jīng)驗(yàn),積極促進(jìn)電子技術(shù)設(shè)計(jì)有序發(fā)展。

參考文獻(xiàn)

[1]李亞平,王亮亮. EDA技術(shù)及其在現(xiàn)代電子系統(tǒng)設(shè)計(jì)中的應(yīng)用[J].山東師范大學(xué)學(xué)報(bào)(自然科學(xué)版),2007,22(3):124-125.

[2]張劭昀,梁佳雯,郭海雙.基于EDA技術(shù)的現(xiàn)代電子設(shè)計(jì)方法[J].電子世界,2014(16):25-26.

[3]蔡潔華,路多,張紅,等.淺談 EDA技術(shù)發(fā)展背景及在電子線路設(shè)計(jì)中的應(yīng)用[J].數(shù)字化用戶,2013(14):215-216.

第3篇:電子設(shè)計(jì)應(yīng)用范文

[關(guān)鍵詞]電子信息;微電子設(shè)計(jì);自動(dòng)化技術(shù)

中圖分類號(hào):TN402文獻(xiàn)標(biāo)識(shí)碼:A文章編號(hào):1009-914X(2018)06-0365-01

1自動(dòng)化技術(shù)和電子信息工程

自動(dòng)化技術(shù)是綜合性技術(shù),它與計(jì)算機(jī)技術(shù)、電子學(xué)、自動(dòng)控制技術(shù)等密切相關(guān)。自動(dòng)化技術(shù)的應(yīng)用能夠幫助企業(yè)節(jié)約成本、提高工作效率、增強(qiáng)企業(yè)的競(jìng)爭(zhēng)力。信息化的社會(huì),自動(dòng)化技術(shù)能夠加快信息交流速度、促進(jìn)企業(yè)快速發(fā)展。研究自動(dòng)化技術(shù)在信息工程中的應(yīng)用非常重要。自動(dòng)化技術(shù)主要應(yīng)用在工業(yè)領(lǐng)域,工業(yè)生產(chǎn)過(guò)程中,自動(dòng)化技術(shù)可以實(shí)現(xiàn)辦公管理自動(dòng)化、計(jì)算機(jī)輔助設(shè)計(jì)和制造等功能。不可否認(rèn)的是自動(dòng)化技術(shù)在我國(guó)發(fā)展程度不高,它的很多強(qiáng)大的功能還沒(méi)有投入實(shí)踐中。自動(dòng)化技術(shù)在發(fā)展中的有效應(yīng)用,代替了人工工作,減少了由于人為操作失誤所產(chǎn)生的問(wèn)題,提高了工作的效率與安全性,降低了工作的成本,可以為工作更好進(jìn)行提供保障。在企業(yè)發(fā)展的過(guò)程中,有一些難度較大的工作利用自動(dòng)化技術(shù)來(lái)進(jìn)行,為工作的進(jìn)行提供了有利的條件。而且有一些工作反復(fù)進(jìn)行,工作內(nèi)容比較枯燥,不適合人為操作完成,自動(dòng)化技術(shù)就會(huì)發(fā)揮很大的作用。自動(dòng)化技術(shù)在工作中發(fā)揮著很大的作用,促進(jìn)工作更好進(jìn)行。電信工程是指應(yīng)用信息電子技術(shù)收集、采集、存儲(chǔ)、管理信息,具有速度快、精確度高、覆蓋范圍廣等特點(diǎn)。電子信息工程是采用硬件命令處理信息。電信工程設(shè)計(jì)中,我國(guó)企業(yè)大部分采用國(guó)外核心技術(shù)實(shí)現(xiàn)功能,創(chuàng)新度不夠、依賴程度較高。電信工程在社會(huì)發(fā)展中有著很重要的作用,對(duì)于信息數(shù)據(jù)的傳遞與共享是當(dāng)前社會(huì)發(fā)展的重點(diǎn),也是信息化時(shí)展的趨勢(shì)。彌補(bǔ)我國(guó)電信工程發(fā)展中存在的不足,可以加強(qiáng)電信工程發(fā)展中的技術(shù)應(yīng)用,使得電信工程建設(shè)可以更好進(jìn)行,并且優(yōu)化電信系統(tǒng),使得信息數(shù)據(jù)的傳輸與交流更加高效。

2微電子設(shè)計(jì)自動(dòng)化技術(shù)的特點(diǎn)

2.1仿真設(shè)計(jì)

微電子的設(shè)計(jì)工作在一般情況下主要是通過(guò)編程進(jìn)行的。技術(shù)人員只需要利用計(jì)算機(jī)編寫(xiě)出相關(guān)的程序,并將代碼與程序下載到芯片中,即可實(shí)現(xiàn)對(duì)大型機(jī)器的有效控制,同時(shí)完成技術(shù)人員指定的動(dòng)作??梢栽陂_(kāi)發(fā)前對(duì)系統(tǒng)開(kāi)展相關(guān)的仿真設(shè)計(jì),是自動(dòng)化技術(shù)的一項(xiàng)重要特點(diǎn),傳統(tǒng)的自動(dòng)化技術(shù)都必須在應(yīng)用現(xiàn)場(chǎng)才能夠開(kāi)展相關(guān)的數(shù)據(jù)搜集與調(diào)整工作,而自動(dòng)化技術(shù)能夠通過(guò)計(jì)算機(jī)設(shè)計(jì)相關(guān)的仿真環(huán)境,從而對(duì)微電子的應(yīng)用情況進(jìn)行一定的調(diào)試,并對(duì)設(shè)計(jì)不當(dāng)?shù)牡胤竭M(jìn)行一定的修改與完善。通過(guò)仿真設(shè)計(jì)的應(yīng)用,能夠有效解決設(shè)計(jì)過(guò)程中的數(shù)據(jù)搜集問(wèn)題,并保證微電子的質(zhì)量。只有通過(guò)一定的仿真應(yīng)用,才能夠很好地保證其應(yīng)用效果。

2.2計(jì)算機(jī)編程

計(jì)算機(jī)編程方式能夠在很大程度上減少硬件材料的浪費(fèi),同時(shí)使得程序調(diào)整方式更為方便。設(shè)計(jì)人員只需要通過(guò)重新編寫(xiě)程序,并將其下載到電子芯片中,即可實(shí)現(xiàn)對(duì)程序的應(yīng)用,這在很大程度上降低了資金與材料的使用,同時(shí)大大提升了工作效率。微電子設(shè)計(jì)自動(dòng)化技術(shù)的應(yīng)用,在很大程度上實(shí)現(xiàn)了電子領(lǐng)域的創(chuàng)新。隨著集成電路的發(fā)明,設(shè)計(jì)人員能夠把所有功能與應(yīng)用都整合在一塊芯片中,并通過(guò)相關(guān)的計(jì)算機(jī)編程,實(shí)現(xiàn)對(duì)各大區(qū)域功能的有效控制,相較于傳統(tǒng)的自動(dòng)化技術(shù),節(jié)約了多余的硬件材料,對(duì)于不同的功能,只用通過(guò)修改代碼即可實(shí)現(xiàn)。因此,不管是在成本,還是效率方面,計(jì)算機(jī)編程都具有無(wú)可替代的重要作用。

2.3集成度高

微電子設(shè)計(jì)自動(dòng)化技術(shù)水平的高速提升,意味著在未來(lái)電子線路的方式將越來(lái)越簡(jiǎn)單,只要一塊小小的芯片,即可具有眾多的功能與應(yīng)用,這在很大程度上縮小了設(shè)備體積,同時(shí)為芯片的安裝與使用提供了很大的便利。除此之外,伴隨著微電子集成度的提升,其能耗也隨之降低,這是微電子技術(shù)中極其重要的一項(xiàng)優(yōu)勢(shì),無(wú)論在工業(yè)還是電子行業(yè)的應(yīng)用中,該項(xiàng)技術(shù)都能夠在很大程度降低成本,提升經(jīng)濟(jì)效益。

3微電子設(shè)計(jì)自動(dòng)化技術(shù)的主要應(yīng)用

3.1工業(yè)領(lǐng)域的應(yīng)用

微電子技術(shù)的應(yīng)用在,很大程度上推動(dòng)了設(shè)計(jì)自動(dòng)化程度的發(fā)展,尤其在工業(yè)領(lǐng)域中,其更是得到了廣泛的應(yīng)用。①微電子技術(shù)的應(yīng)用對(duì)于工業(yè)機(jī)器人的研發(fā)來(lái)說(shuō)起,著非常重要的作用,在很大程度上實(shí)現(xiàn)了機(jī)器人功能的拓展,使得機(jī)器人的功能更加全面,從而在一定程度上促進(jìn)了我國(guó)工業(yè)生產(chǎn)水平的提升。②微電子技術(shù)的應(yīng)用大大提升了我國(guó)流水線的自動(dòng)化水平,通過(guò)流水線作業(yè)代替人工工作,降低了工業(yè)生產(chǎn)中人力資源的浪費(fèi),大大降低了工業(yè)成本,同時(shí)提升了產(chǎn)品的規(guī)范性與合格率。③微電子技術(shù)的發(fā)展對(duì)于大型產(chǎn)品的生產(chǎn)來(lái)說(shuō)具有非常重要的作用,例如在汽車生產(chǎn)中,在很大程度上實(shí)現(xiàn)了汽車生產(chǎn)流程的升級(jí),推動(dòng)了我國(guó)工業(yè)的進(jìn)一步發(fā)展。

3.2教學(xué)領(lǐng)域的應(yīng)用

微電子技術(shù)在教學(xué)領(lǐng)域的應(yīng)用是其必然的發(fā)展趨勢(shì)。傳統(tǒng)的機(jī)械控制方法已經(jīng)不適應(yīng)當(dāng)前的互聯(lián)網(wǎng)環(huán)境。相關(guān)的教育設(shè)計(jì)也應(yīng)該進(jìn)行必要的升級(jí),只有這樣,才能夠更好地滿足學(xué)生對(duì)于教學(xué)方式的需求,提升其對(duì)于技能的學(xué)習(xí)效果。在教育教學(xué)中,傳統(tǒng)的黑板已經(jīng)逐漸被投影儀與計(jì)算機(jī)等現(xiàn)代科技產(chǎn)品代替,而微電子技術(shù)的應(yīng)用能夠在很大程度上拓展其功能,實(shí)現(xiàn)更好的教學(xué)效果,從而提升教學(xué)質(zhì)量。除此之外,微電子技術(shù)的應(yīng)用,能夠很好的提升電氣系統(tǒng)自動(dòng)化的實(shí)踐教學(xué)質(zhì)量,將專業(yè)化術(shù)語(yǔ)與操作通過(guò)應(yīng)用軟件進(jìn)行演示,能夠使得抽象的知識(shí)更易于學(xué)生理解,同時(shí)有效提升學(xué)生的實(shí)踐能力與操作水平,提升學(xué)生的綜合質(zhì)量。總之,微電子設(shè)計(jì)自動(dòng)化技術(shù)的應(yīng)用,能夠在很大程度上提升教學(xué)質(zhì)量。

3.3軟件開(kāi)發(fā)

計(jì)算機(jī)編程的發(fā)展,在很大程度上為軟件的開(kāi)發(fā)工作提供了一定的便利,通過(guò)合理的編程與數(shù)據(jù)的集合,能夠做到各大應(yīng)用的兼容,從而促進(jìn)實(shí)現(xiàn)功能模塊的統(tǒng)一與開(kāi)放,并增強(qiáng)了設(shè)備應(yīng)用的普遍性與通用性。此外,微電子技術(shù)還能夠有效提升電路集成的專業(yè)性,促進(jìn)設(shè)備格式與尺寸的規(guī)范化。隨著我國(guó)編程技術(shù)的提升,目前電子市場(chǎng)的軟件越來(lái)越多樣化,同時(shí)其功能越來(lái)越強(qiáng)大,能夠更好的滿足人們的需求,并可以通過(guò)重新編程,實(shí)現(xiàn)軟件的升級(jí),從而為用戶提供更高質(zhì)量的服務(wù)。

4結(jié)語(yǔ)

第4篇:電子設(shè)計(jì)應(yīng)用范文

論文摘要:電子線路CAD技術(shù)在高職的電子信息工程技術(shù)專業(yè)中是非常重要的一門課程,在電子設(shè)計(jì)以及畢業(yè)設(shè)計(jì)中都講用到該技術(shù)。電子線路CAD技術(shù)主要是用來(lái)繪制電路圖,并在計(jì)算機(jī)上利用該繪圖軟件對(duì)電路進(jìn)行排列,從而讓設(shè)計(jì)出的電路更加的美觀。本文主要是針對(duì)電子線路CAD技術(shù)在高職電子設(shè)計(jì)中的應(yīng)用進(jìn)行研究。

對(duì)于高職學(xué)生而言,要學(xué)習(xí)的不僅僅是專業(yè)知識(shí),動(dòng)手能力是在學(xué)好專業(yè)知識(shí)的基礎(chǔ)上更高的一個(gè)層次,也是他們必須擁有的一種能力。在高職院校中,電子信息工程技術(shù)專業(yè)的學(xué)生經(jīng)常會(huì)遇到電子設(shè)計(jì)等問(wèn)題,因此,在進(jìn)行電子設(shè)計(jì)的時(shí)候需要用到的很多專業(yè)知識(shí)他們是必須掌握的。而電子線路CAD技術(shù)在電路板的制作方面的應(yīng)用就必不可少了。下面我們將對(duì)電子線路CAD技術(shù)在電子設(shè)計(jì)中的應(yīng)用進(jìn)行研究與探索,說(shuō)明電子線路CAD技術(shù)與電子設(shè)計(jì)的關(guān)系以及在電子設(shè)計(jì)中發(fā)揮的作用。

1、電子線路CAD技術(shù)與電子設(shè)計(jì)的關(guān)系

隨著電子技術(shù)的廣泛發(fā)展以及新型元器件和集成電路的廣泛應(yīng)用,電路在設(shè)計(jì)方面也越來(lái)越復(fù)雜與集成化,因此,對(duì)電路的要求也越來(lái)越精密。而為了達(dá)到電路在復(fù)雜與集成化方面的要求,在制作電路的時(shí)候單靠手工的操作已經(jīng)不能完成設(shè)計(jì)的目的了。所以,就產(chǎn)生了現(xiàn)在我們所用到的電子線路CAD技術(shù)。我們?cè)陔娮釉O(shè)計(jì)過(guò)程中利用它就能達(dá)到電路所要求的精密度。

2、電子線路CAD技術(shù)在電子設(shè)計(jì)中的應(yīng)用

電子線路CAD技術(shù)是使用當(dāng)前被廣泛應(yīng)用的計(jì)算機(jī)輔助繪圖和設(shè)計(jì)軟件,然后結(jié)合學(xué)過(guò)的專業(yè)知識(shí)進(jìn)行設(shè)計(jì),以加快設(shè)計(jì)進(jìn)程、縮短設(shè)計(jì)周期、提高設(shè)計(jì)質(zhì)量等。電子線路CAD技術(shù)在電子設(shè)計(jì)中的應(yīng)用主要是一下幾個(gè)方面:

2.1 繪制電路圖

在進(jìn)行電子設(shè)計(jì)的過(guò)程中,要實(shí)現(xiàn)電路的功能最重要的就是編程,但是只有編程并不能完善整個(gè)設(shè)計(jì),還需要有一個(gè)完善的電路來(lái)承載這個(gè)程序,讓它實(shí)現(xiàn)它本該實(shí)現(xiàn)的功能。在電子設(shè)計(jì)中,我們一般運(yùn)用的軟件是PROTEL,繪制電路原理圖的時(shí)候就會(huì)用到PROTEL的原理圖輸入功能。該繪圖軟件在電路原理圖輸入方面有著非常豐富的電子器件庫(kù),能夠?yàn)槲覀冸娮釉O(shè)計(jì)的繪圖提供所需的各種電子器件。利用該軟件進(jìn)行電子設(shè)計(jì)確保了電路原理圖的精密度,并且繪制過(guò)程也更為方便。比如:我們?cè)诋?huà)好一個(gè)元器件后,覺(jué)得它應(yīng)該放在其他的位置,則只要將它拖動(dòng)到我們想要放置的位置即可。

2.2 計(jì)算機(jī)仿真

電子線路CAD技術(shù)在電子設(shè)計(jì)的應(yīng)用過(guò)程中還具備運(yùn)用其仿真的功能,檢查電路的功能是否達(dá)到了我們所預(yù)期的功能,并且能夠?qū)σ恍?shù)據(jù)進(jìn)行仿真,可進(jìn)一步對(duì)電路進(jìn)行分析。對(duì)于PROTEL軟件而言,在它的MULTISIM中有很多種仿真功能,這些仿真功能可以進(jìn)行直流工作電的分析、瞬態(tài)分析、溫度掃描分析、參數(shù)掃描分析、靈敏度分析、零極點(diǎn)分析、傅里葉變換分析、噪聲和失真度分析、最壞情況分析以及蒙特卡羅分析等。在進(jìn)行仿真的時(shí)候,我們首先要進(jìn)行一個(gè)功能仿真,大致了解一下該電路的功能是否達(dá)到了預(yù)期的功能,然后進(jìn)行數(shù)據(jù)仿真,對(duì)該電路進(jìn)行具體的分析,并改正錯(cuò)誤的地方。在進(jìn)行仿真過(guò)后,分析結(jié)果一般都是以數(shù)值或波形的方式顯示出來(lái)。

2.3 PCB板的設(shè)計(jì)

PCB板是PROTEL軟件將電路原理圖進(jìn)行布線后的一種電路板。在進(jìn)行PCB板的設(shè)計(jì)之前,首先要將電路原理圖導(dǎo)入,而導(dǎo)入的電路原理圖必須是通過(guò)仿真的,而且電路原理圖中各元器件的電器特性必須與PCB板相同元器件的電器特性相同。最后,設(shè)計(jì)者就可以利用PCB板自動(dòng)布線以及手動(dòng)布線的功能對(duì)其進(jìn)行布線。采用該軟件對(duì)電路圖進(jìn)行布線,設(shè)計(jì)者可以先采用自動(dòng)布線功能對(duì)電路進(jìn)行大致的布線,然后用手動(dòng)布線功能對(duì)其進(jìn)行美化。這樣的過(guò)程能夠讓電路的布線更加美觀。

2.4 三維視圖

在將PCB板設(shè)計(jì)好之后,在這樣的繪圖軟件上都有三維視圖的菜單,只要點(diǎn)擊三維視圖的菜單就可以觀看設(shè)計(jì)電路板的三維視圖。

3、讓學(xué)生更好地掌握電子線路CAD技術(shù)

如上所述,掌握了電子線路CAD技術(shù)對(duì)于學(xué)生而言,可以更好地進(jìn)行電子線路方面的設(shè)計(jì)工作。但在學(xué)習(xí)這一項(xiàng)技術(shù)的過(guò)程中,我們往往會(huì)發(fā)現(xiàn)學(xué)生心有余而力不足。部分教材多以PROTEL軟件為藍(lán)本,介紹軟件的功能、菜單等,輔以一些應(yīng)用的例子。學(xué)生學(xué)習(xí)后多呈現(xiàn)一種臨時(shí)性的記憶,即在課程中會(huì)用,考核結(jié)束后在不長(zhǎng)的時(shí)間后就不再掌握的現(xiàn)象。

解決這一問(wèn)題的方法以,通過(guò)實(shí)踐我們認(rèn)為采用類似德國(guó)職業(yè)教育所推行的以行動(dòng)為導(dǎo)向的項(xiàng)目教學(xué)法為好。其基本的思路是:

(1)先整體后具體:在學(xué)習(xí)CAD技術(shù)時(shí),先期進(jìn)行總體介紹,讓學(xué)生有全局的認(rèn)識(shí),打消畏難的情緒;而后開(kāi)始進(jìn)入各項(xiàng)目的的學(xué)習(xí)實(shí)踐。

(2)先低頻后高頻:總體而言學(xué)生進(jìn)入學(xué)習(xí)后應(yīng)從簡(jiǎn)而繁,低頻的一些電子產(chǎn)品其電路較之高頻的簡(jiǎn)單,學(xué)習(xí)應(yīng)從其中入手。

(3)先規(guī)范后異型:突出異型電路板的設(shè)計(jì)制做,其目的是讓學(xué)生今后在實(shí)際工作中具有變通的能力,在CAD技術(shù)中也手工調(diào)整電路布局的精華所在。

(4)先單層后多層,先分立后貼片。此處不再綴言。

最后一點(diǎn)是,對(duì)于各個(gè)CAD制作的電路,不應(yīng)僅停留于電腦的設(shè)計(jì),在教學(xué)的過(guò)程中應(yīng)讓學(xué)生的設(shè)計(jì)成為成品。這樣可使學(xué)習(xí)更為直觀,并更有成就感,隨之的效果是學(xué)生對(duì)學(xué)習(xí)到的技術(shù)彌久常新。當(dāng)然,這種做法也會(huì)使教學(xué)的成本大幅上揚(yáng),但從人才培養(yǎng)的角度看,這樣的投入是值得的。

4、結(jié)語(yǔ)

在電子設(shè)計(jì)中運(yùn)用電子線路CAD技術(shù),不僅解決了電子設(shè)計(jì)中電路原理圖繪制以及功能分析和布線方面的苦難。同時(shí),讓學(xué)生通過(guò)在自主地進(jìn)行一些電子設(shè)計(jì),并在的過(guò)程中運(yùn)用該技術(shù),適于鍛煉他們使用電子線路CAD技術(shù)的實(shí)際能力并有助于其真正了解和掌握這一技術(shù)。

參考文獻(xiàn)

[1]朱潔.電子線路CAD技術(shù)在高職電子信息工程專業(yè)畢業(yè)設(shè)計(jì)中的應(yīng)用[J].中國(guó)現(xiàn)代教育裝備,2010,(15):55~57.

第5篇:電子設(shè)計(jì)應(yīng)用范文

關(guān)鍵詞:EDA技術(shù) 電子工程系統(tǒng)設(shè)計(jì)

中圖分類號(hào):S611 文獻(xiàn)標(biāo)識(shí)碼:A 文章編號(hào):

1EDA技術(shù)的基本特征

EDA代表了現(xiàn)代電子設(shè)計(jì)技術(shù)最先進(jìn)的發(fā)展方向,它的基本特征是:設(shè)計(jì)人員按照“自頂向下”的設(shè)計(jì)方法,對(duì)整個(gè)電子系統(tǒng)進(jìn)行方案設(shè)計(jì)和功能劃分,系統(tǒng)的關(guān)鍵電路用一片或幾片專用集成電路(ASIC)實(shí)現(xiàn),然后采用硬件描述語(yǔ)言(HDL)完成系統(tǒng)行為級(jí)設(shè)計(jì),最后通過(guò)綜合器和適配器生成最終的目標(biāo)器件,這樣的設(shè)計(jì)方法被稱為高層次的電子設(shè)計(jì)方法。下面介紹與EDA基本特征有關(guān)的幾個(gè)概念。

1.1 “自頂向下”的設(shè)計(jì)方法

高層次的電子設(shè)計(jì)給我們提供了一種“自頂向下”(Top-Down)的設(shè)計(jì)方法,這種設(shè)計(jì)方法首先從系統(tǒng)設(shè)計(jì)入手,在頂真、糾錯(cuò)。并用硬件描述語(yǔ)言對(duì)高層次的系統(tǒng)行為進(jìn)行描述,在系統(tǒng)一級(jí)進(jìn)行驗(yàn)證。然后,用綜合優(yōu)化工具生成具體門電路的網(wǎng)表,其對(duì)應(yīng)的物理實(shí)現(xiàn)級(jí)可以是印刷電路板或?qū)S眉呻娐罚ˋSIC)軟件來(lái)完成對(duì)系統(tǒng)硬件功能的實(shí)現(xiàn)。

1.2ASIC芯片技術(shù)

隨著現(xiàn)代電子產(chǎn)品的復(fù)雜度日益提高,一個(gè)電子系統(tǒng)可能由數(shù)萬(wàn)個(gè)中小規(guī)模集成電路構(gòu)成,同時(shí)也帶來(lái)了體積大、功耗大、可靠性差的問(wèn)題,解決這一問(wèn)題的有效方法之一就是采用ASIC芯片進(jìn)行設(shè)計(jì)。ASIC芯片按照設(shè)計(jì)方法的不同可以分為:全定制ASIC,可編程ASIC(也稱為可編輯邏輯器件)。

設(shè)計(jì)全定制ASIC芯片時(shí),設(shè)計(jì)師要定義芯片上所有晶體管的幾何圖形和工藝規(guī)則,最后再將設(shè)計(jì)結(jié)果交由IC廠家掩膜制造完成。優(yōu)點(diǎn)是:芯片可以獲得面積利用率高、速度快、功耗低等最優(yōu)性能。缺點(diǎn)是:開(kāi)發(fā)周期長(zhǎng),費(fèi)用高,只適合大批量產(chǎn)品開(kāi)發(fā)。

半定制ASIC芯片的版圖設(shè)計(jì)方法分為門陳列設(shè)計(jì)法和標(biāo)準(zhǔn)單元設(shè)計(jì)法,這兩種方法都是約束性的,其主要目的就是簡(jiǎn)單設(shè)計(jì),以犧牲芯片性能為代價(jià)來(lái)縮短開(kāi)發(fā)時(shí)間。

可編程邏輯芯片與上述掩膜ASIC的不同之處在于:設(shè)計(jì)人員完成版圖設(shè)計(jì)后,在實(shí)驗(yàn)室內(nèi)就可以燒制出自己的芯片,無(wú)需IC廠家的參與,縮短了開(kāi)發(fā)周期。

可編程邏輯器件自上世紀(jì)70年代以來(lái),經(jīng)歷了PAL、GAL、CPLD、EPGA幾個(gè)發(fā)展階段,其中CPLD/EPGA屬高密度可編程邏輯器件,目前集成度已高達(dá)200萬(wàn)門/片,它將掩膜ASIC集成度高的優(yōu)點(diǎn)和可編程邏輯器件設(shè)計(jì)生產(chǎn)方便的特點(diǎn)結(jié)合在一起,很適合樣品研制或小批量產(chǎn)品開(kāi)發(fā),使產(chǎn)品能盡快上市。而當(dāng)市場(chǎng)擴(kuò)大時(shí),它又可以很容易地轉(zhuǎn)由掩膜ASIC實(shí)現(xiàn),因此也降低了開(kāi)發(fā)風(fēng)險(xiǎn)。

上述ASIC芯片,尤其是CPLD/EPGA器件,已成為現(xiàn)代高層次電子設(shè)計(jì)方法的實(shí)現(xiàn)載體。

1.3硬件描述語(yǔ)言

硬件描述語(yǔ)言(HDL-Hardware Description Language)是一種用于電子系統(tǒng)硬件設(shè)計(jì)的計(jì)算機(jī)語(yǔ)言,它用軟件編程的方式來(lái)描述電子系統(tǒng)的邏輯功能、電路結(jié)構(gòu)和連接形式,與傳統(tǒng)的門級(jí)描述方式相比,它更適合大規(guī)模電子系統(tǒng)的設(shè)計(jì)。硬件描述語(yǔ)言可以在3個(gè)層次上進(jìn)行電路描述,其層次由高到低分為行為級(jí)、R級(jí)和門電路級(jí)。常用硬件描述語(yǔ)言有WDL、Verilog和VHDL語(yǔ)言等。

2 EDA技術(shù)的設(shè)計(jì)方法

2.1電路級(jí)設(shè)計(jì)

電路級(jí)設(shè)計(jì)工作流程如圖1所示。電子工程師接受系統(tǒng)設(shè)計(jì)任務(wù)后首先確定設(shè)計(jì)方案,同時(shí)要選擇能實(shí)現(xiàn)該方案的合適元器件,然后根據(jù)所選元器件設(shè)計(jì)原理圖。接著進(jìn)行一次仿真,包括數(shù)字電路的邏輯模擬、故障分析、模擬電路的交直流分析和瞬態(tài)分析。系統(tǒng)在進(jìn)行仿真時(shí),必須要有元件模型庫(kù)的支持,計(jì)算機(jī)上模擬的輸入輸出波形代替了實(shí)際電路調(diào)試中的信號(hào)源和示波器。這一次仿真主要是檢驗(yàn)設(shè)計(jì)方案在功能方面的正確性。仿真通過(guò)后,根據(jù)原理產(chǎn)生的網(wǎng)絡(luò)表進(jìn)行PCB板的自動(dòng)布局布線。在制作PCB板之前還可以進(jìn)行后分析,包括熱分析、噪音及串?dāng)_分析、電磁兼容反洗和可靠性分析等,并且可以將分析后的結(jié)果參數(shù)反饋回原理圖,進(jìn)行第二次仿真,也稱為后仿真,后仿真主要是PCB板在實(shí)際工作環(huán)境中的可行性。

可見(jiàn),EDA技術(shù)在電路級(jí)設(shè)計(jì)方面的應(yīng)用使電子工程師在實(shí)際的電子系統(tǒng)產(chǎn)生之前,就可以全面了解系統(tǒng)的功能特性和物理特性,從而將開(kāi)發(fā)過(guò)程中出現(xiàn)的缺陷消滅在設(shè)計(jì)階段,既縮短了開(kāi)發(fā)時(shí)間,也降低了開(kāi)發(fā)成本。

圖1 電路級(jí)設(shè)計(jì)工作流程

2.2系統(tǒng)級(jí)設(shè)計(jì)

系統(tǒng)級(jí)設(shè)計(jì)工作流程如圖2所示。系統(tǒng)級(jí)設(shè)計(jì)是一種“概念驅(qū)動(dòng)式”設(shè)計(jì),設(shè)計(jì)人員無(wú)須通過(guò)門級(jí)原理圖描述電路,而是針對(duì)設(shè)計(jì)目標(biāo)進(jìn)行功能描述。由于擺脫了電路細(xì)節(jié)的束縛,設(shè)計(jì)人員可以把精力集中于創(chuàng)造性概念構(gòu)思與方案上,一旦這些概念構(gòu)思以高層次描述的形式輸入計(jì)算機(jī)后,EDA系統(tǒng)就能以規(guī)則驅(qū)動(dòng)的方式自動(dòng)完成整個(gè)設(shè)計(jì)。

系統(tǒng)級(jí)設(shè)計(jì)的步驟如下:

第一步:按照“自頂向下”的設(shè)計(jì)方法進(jìn)行系統(tǒng)劃分。

第二步:輸入VHDL代碼,這是系統(tǒng)級(jí)設(shè)計(jì)中最為普遍的輸入方式。此外,還可以采用圖形輸入方式(框圖、狀態(tài)圖等)這種輸入方式具有直觀、容易理解的優(yōu)點(diǎn)。

第三步:將以上的設(shè)計(jì)輸入編譯成標(biāo)準(zhǔn)的VHDL文件。對(duì)于大型設(shè)計(jì),還要進(jìn)行代碼級(jí)的功能仿真,主要是檢驗(yàn)系統(tǒng)功能設(shè)計(jì)的正確性,因?yàn)閷?duì)于大型設(shè)計(jì),綜合適配要花費(fèi)數(shù)小時(shí),在綜合前對(duì)源代碼仿真,就可以大大減少設(shè)計(jì)重復(fù)的次數(shù)和時(shí)間一般情況下,可略去這一仿真步驟。

第四步:利用綜合器對(duì)VHDL源代碼進(jìn)行綜合優(yōu)化處理,生成門級(jí)描述的網(wǎng)表,這是將高層次描述轉(zhuǎn)化為硬件電路的關(guān)鍵步驟。綜合優(yōu)化是針對(duì)ASIC芯片供應(yīng)商的某一產(chǎn)品系列進(jìn)行的,需要在相應(yīng)的廠家綜合庫(kù)支持下才能完成。綜合后,可利用產(chǎn)生的網(wǎng)表文件進(jìn)行適配錢的時(shí)序仿真,仿真過(guò)程不涉及具體器件的硬件特性,較為粗略。

第五步:利用適配器將綜合后的網(wǎng)表文件針對(duì)某一具體的目標(biāo)器件進(jìn)行邏輯映射操作,包括底層器件配置、邏輯分割、邏輯優(yōu)化和布局布線。

第六步:將適配器產(chǎn)生的器件編程文件通過(guò)編程器或下載電纜載入到目標(biāo)芯片EPGA或CPLD中。如果是大批量產(chǎn)品開(kāi)發(fā),通過(guò)更換相應(yīng)的廠家綜合庫(kù),可以很容易轉(zhuǎn)由ASIC形式實(shí)現(xiàn)。

圖2系統(tǒng)設(shè)計(jì)工作流程

2 結(jié)束語(yǔ)

21世紀(jì)是EDA技術(shù)的高速發(fā)展時(shí)期,EDA技術(shù)是現(xiàn)代電子系統(tǒng)設(shè)計(jì)技術(shù)的重要發(fā)展方向之一。隨著集成電路技術(shù)的高速發(fā)展,數(shù)字系統(tǒng)正朝著更高集成度、超微型化、高性能、高可靠性和低功耗的系統(tǒng)級(jí)芯片方向發(fā)展,借助于硬件描述語(yǔ)言的國(guó)際標(biāo)準(zhǔn)VHDL和強(qiáng)大的EDA工具,可減少設(shè)計(jì)風(fēng)險(xiǎn)并縮短周期,隨著VHDL語(yǔ)言使用范圍的日益擴(kuò)大,必將給硬件設(shè)計(jì)領(lǐng)域帶來(lái)巨大的變革。

參考文獻(xiàn):

[1]譚會(huì)生,張昌凡.EDA技術(shù)及應(yīng)用[M].西安:西安電子科技大學(xué)出版社,2001

[2]ALTERA公司.DATA BOOK[M].北京:清華大學(xué)出版社,1998.

[3] ALTERA公司.ADHL語(yǔ)言[M].北京:清華大學(xué)出版社,1998.

第6篇:電子設(shè)計(jì)應(yīng)用范文

【關(guān)鍵詞】電子工程;EDA;技術(shù)

中圖分類號(hào):C35文獻(xiàn)標(biāo)識(shí)碼: A

1、EDA技術(shù)的含義及應(yīng)用現(xiàn)狀

所謂EDA技術(shù),就是電子設(shè)計(jì)自動(dòng)化(Electronic Design Automation)的縮寫(xiě),在20世紀(jì)90年代初從計(jì)算機(jī)輔助設(shè)計(jì)(CAD)、計(jì)算機(jī)輔助制造(CAM)、計(jì)算機(jī)輔助測(cè)試(CAT)和計(jì)算機(jī)輔助工程(CAE)的概念發(fā)展而來(lái)的。EDA 技術(shù)就是以計(jì)算機(jī)為工具,設(shè)計(jì)者在 E-DA 軟件平臺(tái)上,用硬件描述語(yǔ)言HDL完成設(shè)計(jì)文件,然后由計(jì)算機(jī)自動(dòng)地完成邏輯編譯、化簡(jiǎn)、分割、綜合、優(yōu)化、布局、布線和仿真,直至對(duì)于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。是計(jì)算機(jī)信息技術(shù)、微電子技術(shù)、電路理論、信息分析與信號(hào)處理的結(jié)晶,也是現(xiàn)代電子工程的最重要的應(yīng)用技術(shù)。

自從該技術(shù)研發(fā)至今,已經(jīng)得到了廣泛的應(yīng)用,現(xiàn)在對(duì)EDA的概念或范疇用得更加寬。包括在機(jī)械、通信、電子、航空航天、礦產(chǎn)、化工、醫(yī)學(xué)、生物、軍事等各個(gè)領(lǐng)域,都有EDA的應(yīng)用,這種技術(shù)的應(yīng)用不僅得到了良好的效果反饋,也為所在的領(lǐng)域的發(fā)展起到了極大的促進(jìn)作用。同時(shí),EDA在教學(xué)、科研、產(chǎn)品設(shè)計(jì)與制造等各方面發(fā)揮著重要的作用,因其包含的技術(shù)的先進(jìn)性,致使其相關(guān)的產(chǎn)品的研發(fā)有很大的技術(shù)研究?jī)r(jià)值。在技術(shù)教學(xué)方面,現(xiàn)在幾乎所有理工科類的高校都有開(kāi)設(shè)了EDA課程,成為了理工科的學(xué)生,尤其是電子類專業(yè)的學(xué)生必修的科目,也是學(xué)生們了解目前的科研方向和市場(chǎng)動(dòng)向的一個(gè)有效的途徑。主要的目的是讓學(xué)生了解EDA的基本概念和基本原理、掌握用HDL語(yǔ)言編寫(xiě)規(guī)范、掌握邏輯綜合的理論和算法、使用 EDA 工具進(jìn)行電子電路課程的實(shí)驗(yàn)驗(yàn)證并從事簡(jiǎn)單系統(tǒng)的設(shè)計(jì)。一般學(xué)習(xí)電路仿真工具(如multiSIM、PSPICE)和PLD開(kāi)發(fā)工具(如 Altera/Xilinx 的器件結(jié)構(gòu)及開(kāi)發(fā)系統(tǒng))??蒲蟹矫嬷饕秒娐贩抡婀ぞ撸╩ultiSIM或PSPICE)進(jìn)行電路設(shè)計(jì)與仿真,可以在儀器和工具的設(shè)計(jì)階段有效的解決各種電路的假設(shè)與試驗(yàn),大大的提高了設(shè)計(jì)人員的工作效率;利用虛擬儀器進(jìn)行產(chǎn)品測(cè)試,作為流水線的一個(gè)重要環(huán)節(jié)的產(chǎn)品測(cè)試,對(duì)于該技術(shù)的應(yīng)用也有著非常重要的意義;將CPLD/FPGA器件實(shí)際應(yīng)用到儀器設(shè)備中;從事PCB設(shè)計(jì)和ASIC設(shè)計(jì)等。在產(chǎn)品設(shè)計(jì)與制造方面,包括計(jì)算機(jī)仿真,產(chǎn)品開(kāi)發(fā)中的EDA工具應(yīng)用、系統(tǒng)級(jí)模擬及測(cè)試環(huán)境的仿真,生產(chǎn)流水線的EDA技術(shù)應(yīng)用、產(chǎn)品測(cè)試等各個(gè)環(huán)節(jié)可以大大的提高流水線的作業(yè)效率,節(jié)省了人工。EDA軟件經(jīng)過(guò)多年的發(fā)展,其功能也日益強(qiáng)大,原來(lái)功能比較單一的軟件,現(xiàn)在增加了很多新用途,極大的豐富了軟件的作用。如 AutoCAD軟件可用于機(jī)械及建筑設(shè)計(jì),也擴(kuò)展到建筑裝璜及各類效果圖、汽車和飛機(jī)的模型、電影特技等領(lǐng)域,隨著未來(lái)該技術(shù)的發(fā)展,其應(yīng)用的范圍必將越來(lái)越廣泛。

2、EDA技術(shù)的特點(diǎn)

EDA技術(shù)之所成為今天電子信息工程中的重要技術(shù),具有“自頂向下(Top―Down)”的設(shè)計(jì)程序,這種設(shè)計(jì)程序的最大特點(diǎn)就是改變了以往的軟件程序的設(shè)計(jì)思維,也就確保設(shè)計(jì)方案整體的合理化;由于EDA采用高級(jí)語(yǔ)言描述,有語(yǔ)言公開(kāi)可利用、描述范圍廣、可以系統(tǒng)編程和現(xiàn)場(chǎng)編程等特點(diǎn);該軟件的自動(dòng)化程度高,所以可以進(jìn)行各級(jí)的仿真、糾錯(cuò)和調(diào)試工作,大大的提高了工作效率和準(zhǔn)確度。這些特點(diǎn)也EDA技術(shù)得到廣泛的應(yīng)用的重要原因。

3、電子工程設(shè)計(jì)中EDA技術(shù)的應(yīng)用

近年來(lái),EDA技術(shù)得到了深入地發(fā)展與完善,同時(shí)EAD技術(shù)的應(yīng)用領(lǐng)域也變得更廣,如醫(yī)藥、通信、生物、化工、航空航天、電子工程、軍事等。其中電氣工程設(shè)計(jì)領(lǐng)域EDA技術(shù)的應(yīng)用尤其突出,即利用EDA技術(shù)所提供的虛擬儀器測(cè)試產(chǎn)品,把FPGA/CPLD器件應(yīng)用到ASIC/PCB設(shè)計(jì)和儀器設(shè)備設(shè)計(jì)等。基于此,下文主要就電子工程設(shè)計(jì)中EDA技術(shù)的應(yīng)用展開(kāi)討論。

(1)電路設(shè)計(jì)的仿真分析

待確定了電子工程設(shè)計(jì)方案后,有必要利用結(jié)構(gòu)模擬和系統(tǒng)仿真等方法就此設(shè)計(jì)方案的科學(xué)性、合理性和可行性予以研究分析。如果利用EDA技術(shù)對(duì)電子工程設(shè)計(jì)方案予以仿真分析,則首先應(yīng)該明確此系統(tǒng)相關(guān)環(huán)節(jié)的傳遞函數(shù),再利用數(shù)學(xué)模型就確定的傳遞函數(shù)予以仿真分析。研究證實(shí),此系統(tǒng)仿真技術(shù)完全可應(yīng)用到非電子工程專業(yè)系統(tǒng)設(shè)計(jì)領(lǐng)域,同時(shí)可用來(lái)驗(yàn)證相關(guān)新構(gòu)思和新理論的合理性。待完成了仿真分析后,應(yīng)該就各系統(tǒng)電路結(jié)構(gòu)予以模擬分析,以此判斷電路結(jié)構(gòu)性能指標(biāo)的可實(shí)現(xiàn)性和設(shè)計(jì)的正確性。總體而言,此種量化形式的分析防范可為我國(guó)電子工程設(shè)計(jì)水平的提高提供可能。

(2)電路特性的優(yōu)化設(shè)計(jì)

眾所周知,電子產(chǎn)品元器件的容差較其他同類產(chǎn)品佳,且直接控制好元器件工作的環(huán)境溫度便可確保電路運(yùn)行的穩(wěn)定性和安全性。然而,傳統(tǒng)的電子工程設(shè)計(jì)方案卻難以實(shí)現(xiàn)對(duì)元器件容差、工作的環(huán)境溫度的系統(tǒng)性分析,由此便大大限制了電子工程設(shè)計(jì)方案的質(zhì)量,同時(shí)也無(wú)法確保元器件容差最佳和工作的環(huán)境溫度最優(yōu)。基于此,本文引入的EDA技術(shù)便可有效攻克此類問(wèn)題,即利用EDA技術(shù)做提供的統(tǒng)計(jì)分析功能和溫度分析功能,可確保元器件容差最佳和工作的環(huán)境溫度最優(yōu),究其原因?yàn)椋航y(tǒng)計(jì)分析功能和溫度分析功能可準(zhǔn)確確定元器件的最佳參數(shù)和電路結(jié)構(gòu),同時(shí)可提高元器件自身性能與工作環(huán)境溫度間的協(xié)調(diào)性和一致性。由此可見(jiàn),EDA技術(shù)可為電子工程設(shè)計(jì)方案的優(yōu)化和電子產(chǎn)品使用質(zhì)量的提高提供可能。

4、EDA常用軟件

EDA軟件發(fā)展很快,目前被我國(guó)廣泛應(yīng)用的有:multiSIM7 (原EWB的最新版本)、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Men-tor、Graphics、Synopsys、LSIIogic、Cadence、Mi-croSim 等等。但是很多軟件的應(yīng)用技術(shù)具有專門性的特點(diǎn),使得其應(yīng)用范圍大大的受限,所以下面簡(jiǎn)單介紹一下PCB設(shè)計(jì)軟件、IC 設(shè)計(jì)軟件、PLD設(shè)計(jì)工具及其它EDA軟件的常見(jiàn)種類。

(1) PCB設(shè)計(jì)軟件

PCB(Printed-Circuit Board)設(shè)計(jì)軟件是最早的基于 EDA 技術(shù)的軟件之一,經(jīng)過(guò)多年的發(fā)展更是種類繁多,常見(jiàn)的如 Protel、OrCAD、Viewlogic、PowerPCB、Cadence PSD、MentorGrap-hices 的 Expedition PCB、Zuken CadStart、Win-board/Windraft/Ivex-SPICE、PCB Studio、TANGO、PCBWizard(與LiveWire配套的PCB制作軟件包)、ultiBOARD7(與 multiSIM2001配套的PCB制作軟件包)等等。

(2)IC設(shè)計(jì)軟件

IC設(shè)計(jì)工具也很多,ASIC設(shè)計(jì)領(lǐng)域有名的軟件供應(yīng)商主要有 Cadence、Mentor Graphics和Synopsys。中國(guó)華大公司也提供 ASIC設(shè)計(jì)軟件(熊貓2000)。

(3)PLD設(shè)計(jì)工具

PLD(Programmable Logic Device)是一種由用戶根據(jù)需要而自行構(gòu)造邏輯功能的數(shù)字集成電路。從目前的市場(chǎng)應(yīng)用情況來(lái)看,目前主要有兩大類型:CPLD(Complex PLD)和FPGA(FieldProgrammable Gate Array)。它們的基本設(shè)計(jì)方法主要借助于EDA軟件,在該技術(shù)的基礎(chǔ)上用原理圖、狀態(tài)機(jī)、布爾表達(dá)式、硬件描述語(yǔ)言等方法,生成相應(yīng)的目標(biāo)文件,最后用編程器或下載電纜,由目標(biāo)器件實(shí)現(xiàn),可以根據(jù)用戶的需要設(shè)計(jì)出各種個(gè)性化的使用工具。Altera、Xilinx和Lattice這三家公司是PLD眾多生產(chǎn)廠家中比較有代表性的。

綜上所述,EDA技術(shù)的出現(xiàn)是電子設(shè)計(jì)領(lǐng)域中的一次革命,21世紀(jì)是信息技術(shù)和電子技術(shù)的時(shí)代,也是EDA技術(shù)的高速發(fā)展階段。EDA技術(shù)作為電子產(chǎn)品開(kāi)發(fā)研制的動(dòng)力,大大的促進(jìn)了我國(guó)的電子產(chǎn)品行業(yè)的發(fā)展,也是未來(lái)的電子技術(shù)的發(fā)展的方向,因?yàn)閷?shí)踐中我們可以看到采用EDA技術(shù)制作的電子產(chǎn)品具有容量大、實(shí)時(shí)性好、體積小、可靠性高的優(yōu)點(diǎn),所以被廣大的生產(chǎn)企業(yè)廣泛的應(yīng)用。雖然我國(guó)對(duì)于這項(xiàng)技術(shù)的引用較晚,發(fā)展也處于起步階段,但是其在我國(guó)的發(fā)展前景是樂(lè)觀的。變現(xiàn)為電子設(shè)計(jì)工程人員掌握這一技術(shù),不僅是提高效率的需要,更是開(kāi)發(fā)高附加值電子產(chǎn)品的需要,任何的生產(chǎn)廠商搜力圖尋找一種體積更加小,性能更加好的電子技術(shù),EDA技術(shù)和其衍生的各種軟件無(wú)疑符合了這一要求,也是其強(qiáng)大的生命力的根源所在。隨著80C時(shí)代的到來(lái),EDA技術(shù)在移動(dòng)通信系統(tǒng)、衛(wèi)星系統(tǒng)等對(duì)重量、體積及速度敏感的領(lǐng)域?qū)⒕哂兄匾膶?shí)用價(jià)值,不久的將來(lái)會(huì)應(yīng)用于我國(guó)的各項(xiàng)通訊技術(shù)和空間技術(shù)領(lǐng)域。并且根據(jù)最新的統(tǒng)計(jì)結(jié)果顯示,我國(guó)和印度正在成為EDA技術(shù)設(shè)計(jì)方面發(fā)展最快的兩個(gè)市場(chǎng),相信在不久的將來(lái),我國(guó)的科技工作者和設(shè)計(jì)團(tuán)隊(duì)會(huì)趕上世界先進(jìn)水平,將這一優(yōu)秀的電子設(shè)汁技術(shù)更好的應(yīng)用到社會(huì)發(fā)展的各個(gè)領(lǐng)域,研發(fā)出更多的自主產(chǎn)品和應(yīng)用軟件,為祖國(guó)建設(shè)提供更好的技術(shù)支持。

參考文獻(xiàn)

第7篇:電子設(shè)計(jì)應(yīng)用范文

利用硬件描述語(yǔ)言VHDL,數(shù)字電路系統(tǒng)可從系統(tǒng)行為級(jí)、寄存器傳輸級(jí)和門級(jí)三個(gè)不同層次進(jìn)行設(shè)計(jì),即上層到下層(從抽象到具體)逐層描述自己的設(shè)計(jì)思想,用一系列分層次的模塊來(lái)表示極其復(fù)雜的數(shù)字系統(tǒng)。然后,利用EDA工具,逐層進(jìn)行仿真驗(yàn)證,再把其中需要變?yōu)閷?shí)際電路的模塊組合,經(jīng)過(guò)自動(dòng)綜合工具轉(zhuǎn)換到門級(jí)電路網(wǎng)表。接著,再用專用集成電路(ASIC)或現(xiàn)場(chǎng)可編程門陣列(FPGA)自動(dòng)布局布線工具,把網(wǎng)表轉(zhuǎn)換為要實(shí)現(xiàn)的具體電路布線結(jié)構(gòu)。目前,這種高層次設(shè)計(jì)的方法已被廣泛采用。據(jù)統(tǒng)計(jì),目前在美國(guó)硅谷約有90%以上的ASIC和FPGA采用硬件描述語(yǔ)言進(jìn)行設(shè)計(jì)。VHDL的應(yīng)用已成為當(dāng)今以及未來(lái)EDA解決方案的核心,而且是復(fù)雜數(shù)字系統(tǒng)設(shè)計(jì)的核心。

一、VHDL的特點(diǎn)

VHDL是一種全方位的硬件描述語(yǔ)言,具有極強(qiáng)的描述能力,能支持系統(tǒng)行為級(jí)、寄存器傳輸級(jí)和邏輯門級(jí)三個(gè)不同層次的設(shè)計(jì),支持結(jié)構(gòu)、數(shù)據(jù)流、行為三種描述形式的混合描述,覆蓋面廣,抽象能力強(qiáng),因此在實(shí)際應(yīng)用中越來(lái)越廣泛。VHDL的主要特點(diǎn)有:

1.功能強(qiáng)大。與其他的硬件描述語(yǔ)言相比,VHDL具有更強(qiáng)的描述能力和語(yǔ)言結(jié)構(gòu),可以用簡(jiǎn)潔的源代碼描述復(fù)雜的邏輯控制。它具有多層次的設(shè)計(jì)描述功能,層層細(xì)化,最后直接生成電路級(jí)描述。

2.系統(tǒng)硬件描述能力強(qiáng)。VHDL具有豐富的數(shù)據(jù)類型,豐富的仿真語(yǔ)句和庫(kù)函數(shù),在任何大系統(tǒng)的設(shè)計(jì)早期就能查驗(yàn)設(shè)計(jì)系統(tǒng)功能的可行性,隨時(shí)可對(duì)設(shè)計(jì)進(jìn)行仿真模擬。

3.設(shè)計(jì)與工藝無(wú)關(guān)。用VHDL進(jìn)行硬件電路設(shè)計(jì)時(shí),并不需要首先考慮選擇完成設(shè)計(jì)的器件。VHDL的硬件描述與具體的工藝和硬件結(jié)構(gòu)無(wú)關(guān),因此VHDL設(shè)計(jì)程序的硬件實(shí)現(xiàn)目標(biāo)器件有廣闊的選擇范圍。

4.設(shè)計(jì)方法靈活,易于修改。VHDL語(yǔ)言標(biāo)準(zhǔn)、規(guī)范,大多數(shù)EDA工具都支持VHDL。在硬件設(shè)計(jì)過(guò)程中,用VHDL語(yǔ)言編寫(xiě)的源程序便于管理,VHDL易讀、結(jié)構(gòu)模塊化,方便修改、交流和保存。

5.支持廣泛,移植能力強(qiáng)。VHDL是一個(gè)標(biāo)準(zhǔn)語(yǔ)言,在電子設(shè)計(jì)領(lǐng)域,為眾多的EDA工具支持,因此移植能力好。

二、VHDL的結(jié)構(gòu)和設(shè)計(jì)方法

1.VHDL的基本結(jié)構(gòu)

VHDL的結(jié)構(gòu)模型包括五個(gè)部分:實(shí)體、結(jié)構(gòu)體、配置、程序包、庫(kù)。前四種可分別編譯,編譯后放入庫(kù)中,以備上層模塊調(diào)用。

(1)實(shí)體定義了器件的輸入輸出端口,設(shè)計(jì)實(shí)體是VHDL的基本單元,可以表示整個(gè)系統(tǒng)、一塊電路板、一個(gè)芯片或一個(gè)門電路。

(2)結(jié)構(gòu)體定義實(shí)體的實(shí)現(xiàn),即描述系統(tǒng)內(nèi)部的結(jié)構(gòu)和行為。

(3)配置用于從庫(kù)中選取所需單元來(lái)組成系統(tǒng)設(shè)計(jì)的不同版本,為實(shí)體選定某個(gè)特定的結(jié)構(gòu)體。

(4)程序包存放各設(shè)計(jì)模塊都能共享的數(shù)據(jù)類型、常數(shù)和子程序等。

(5)庫(kù)用來(lái)存放編譯結(jié)果,包括實(shí)體、結(jié)構(gòu)體、配置、程序包。

2.VHDL的設(shè)計(jì)方法

VHDL將層次化的設(shè)計(jì)方法引入到硬件描述中,自上向下的設(shè)計(jì)是從系統(tǒng)級(jí)開(kāi)始,將整個(gè)系統(tǒng)劃分為子模塊,然后對(duì)這些子模塊再進(jìn)行進(jìn)一步的劃分,直到可以直接用庫(kù)中的元件來(lái)實(shí)現(xiàn)為止。在設(shè)計(jì)方法上,將傳統(tǒng)的“電路設(shè)計(jì)硬件搭試調(diào)試焊接”模式轉(zhuǎn)變?yōu)椤肮δ茉O(shè)計(jì)軟件模擬仿真下載”方式。數(shù)字系統(tǒng)的設(shè)計(jì)采用自頂向下的方法,最頂層電路設(shè)計(jì)是指系統(tǒng)的整體要求,最下層是指具體邏輯電路的實(shí)現(xiàn)。一般的電子系統(tǒng)設(shè)計(jì)可分為兩個(gè)階段,第一階段是系統(tǒng)的邏輯設(shè)計(jì)和仿真,得出的是門級(jí)電路的原理圖或網(wǎng)表;第二階段設(shè)計(jì)如印刷電路板的布局布線,集成電路的版圖設(shè)計(jì)等,得出的是最終的物理設(shè)計(jì)。

三、VHDL的應(yīng)用實(shí)例

筆者以Max+plusⅡ軟件作為平臺(tái)的一個(gè)空調(diào)機(jī)控制器的設(shè)計(jì)為例,談?wù)刅HDL在數(shù)字電路設(shè)計(jì)中的具體應(yīng)用。

實(shí)現(xiàn)一個(gè)控制器,常用有限狀態(tài)機(jī)方法實(shí)現(xiàn)。傳統(tǒng)的設(shè)計(jì)方法主要包括5個(gè)過(guò)程:確定原始狀態(tài)圖,狀態(tài)簡(jiǎn)化,狀態(tài)編碼,觸發(fā)器類型的選擇及控制邏輯方程和輸出方程的確定,畫(huà)出電路原理圖。采用這種方法設(shè)計(jì)復(fù)雜狀態(tài)機(jī)將會(huì)十分繁雜。

利用VHDL來(lái)設(shè)計(jì)有限狀態(tài)機(jī),可以充分發(fā)揮硬件描述語(yǔ)言的抽象能力,進(jìn)行功能描述,而具體的邏輯化簡(jiǎn)和電路設(shè)計(jì)可由計(jì)算機(jī)自動(dòng)完成,從而提高了設(shè)計(jì)的工作效率,并且條理清晰,修改起來(lái)也更方便,所以很適合復(fù)雜時(shí)序電路的設(shè)計(jì)。應(yīng)用VHDL設(shè)計(jì)狀態(tài)機(jī)的步驟如下:第一,根據(jù)系統(tǒng)要求確定狀態(tài)數(shù)量、狀態(tài)轉(zhuǎn)移的條件和各狀態(tài)輸出信號(hào)的賦值,并畫(huà)出狀態(tài)轉(zhuǎn)移圖;第二,按照狀態(tài)轉(zhuǎn)移圖編寫(xiě)有限狀態(tài)機(jī)的VHDL程序;第三,利用EDA工具進(jìn)行功能仿真驗(yàn)證;第四,編程下載。

空調(diào)機(jī)控制器的設(shè)計(jì)。它的兩個(gè)輸入來(lái)自溫度傳感器,用于監(jiān)測(cè)室內(nèi)溫度。如果室內(nèi)溫度正常,則temp-high和temp-low均為‘0’;如果室內(nèi)溫度過(guò)高,則temp-high為‘1’,temp-low為‘0’;如果室內(nèi)溫度過(guò)低,則temp-high為‘0’,temp-low為‘1’。根據(jù)temp-high和temp-low的值來(lái)決定當(dāng)前的工作狀態(tài),并給出相應(yīng)的制冷和制熱輸出信號(hào)。

按照繪制好的狀態(tài)轉(zhuǎn)移圖編寫(xiě)VHDL程序,編程中采用case語(yǔ)句來(lái)描述狀態(tài)的改變,它具有直觀、條理清晰及易于修改等特點(diǎn)。也可以采用不同進(jìn)程來(lái)實(shí)現(xiàn)狀態(tài)的改變,所以編程方法多種。

功能仿真。利用Max+plusⅡ軟件工具對(duì)所編程序進(jìn)行編譯、仿真。當(dāng)temp-low為“1”,即溫度過(guò)低,則heat為“1”(制熱);當(dāng)temp-high為“1”,即溫度過(guò)高,則cool為“1”(制冷)。經(jīng)綜合后的仿真分析表明,該方案是合理可行的。通過(guò)仿真后,即可編程下載。

四、使用VHDL應(yīng)注意的一些問(wèn)題

由于VHDL語(yǔ)言是描述硬件行為的,相對(duì)其它開(kāi)發(fā)軟件的高級(jí)語(yǔ)言而言,在編程過(guò)程中有一些特殊性,所以經(jīng)常會(huì)出現(xiàn)語(yǔ)法正確但無(wú)法綜合的問(wèn)題。其原因多半因?yàn)榫幊陶邔?duì)硬件內(nèi)部的工作原理了解不夠,寫(xiě)出的代碼硬件無(wú)法實(shí)現(xiàn)。在此總結(jié)出一些應(yīng)注意的問(wèn)題:

第8篇:電子設(shè)計(jì)應(yīng)用范文

關(guān)鍵詞:EDA;數(shù)字電路課程設(shè)計(jì);多功能數(shù)字鐘

1.EDA技術(shù)[1]

EDA技術(shù)即電子設(shè)計(jì)自動(dòng)化技術(shù),英文全稱Electronic Design Automation,它是以功能強(qiáng)大的計(jì)算機(jī)為工具,在EDA軟件平臺(tái)上,對(duì)以硬件描述語(yǔ)言HDL為系統(tǒng)邏輯描述手段完成的設(shè)計(jì)文件,自動(dòng)完成邏輯編譯、簡(jiǎn)化、分割、綜合、布局布線及邏輯優(yōu)化、仿真測(cè)試的電子產(chǎn)品自動(dòng)化設(shè)計(jì)過(guò)程。

利用EDA技術(shù)進(jìn)行電子系統(tǒng)的設(shè)計(jì),具有以下幾個(gè)特點(diǎn):

(1)用軟件的方式設(shè)計(jì)硬件,且用軟件的方式設(shè)計(jì)的系統(tǒng)到硬件系統(tǒng)的轉(zhuǎn)換是由相關(guān)的開(kāi)發(fā)軟件自動(dòng)完成的;

(2)設(shè)計(jì)過(guò)程可用相關(guān)軟件進(jìn)行各種仿真;

(3)系統(tǒng)可現(xiàn)場(chǎng)編程,在線升級(jí);

(4)整個(gè)系統(tǒng)可以集成在一個(gè)芯片上,具有體積小、功耗低及可靠性高的特點(diǎn)。

2.用EDA技術(shù)改進(jìn)數(shù)字電路課程設(shè)計(jì)的必要性

數(shù)字電路課程設(shè)計(jì)是建立在數(shù)字電子技術(shù)基礎(chǔ)上的一門綜合實(shí)踐性課程[2],有利于培養(yǎng)學(xué)生的系統(tǒng)綜合能力和創(chuàng)新能力,對(duì)提高辦學(xué)檔次,滿足社會(huì)對(duì)高素質(zhì)人才的需求,培養(yǎng)學(xué)生對(duì)未來(lái)社會(huì)的適應(yīng)能力都是受益匪淺的。通過(guò)這一課程的學(xué)習(xí),學(xué)生能夠熟練地利用EDA技術(shù)掌握較復(fù)雜數(shù)字系統(tǒng)的設(shè)計(jì)方法,進(jìn)一步增強(qiáng)學(xué)生分析問(wèn)題、解決問(wèn)題的能力,充分挖崛和激發(fā)學(xué)生的創(chuàng)新潛能。

目前在數(shù)字電路實(shí)踐教學(xué)中,大部分學(xué)校仍然采用中小規(guī)模的集成電路來(lái)實(shí)現(xiàn)設(shè)計(jì)功能,當(dāng)設(shè)計(jì)的系統(tǒng)比較復(fù)雜,需要多個(gè)集成芯片和大量連線時(shí),就增加了設(shè)計(jì)電路板的難度和故障調(diào)試難度,延長(zhǎng)了設(shè)計(jì)周期,降低了學(xué)生的學(xué)習(xí)興趣;同時(shí),常用中小規(guī)模集成芯片的大量重復(fù)使用也大大增加了設(shè)計(jì)成本;因此,在數(shù)字電路課程設(shè)計(jì)中引入EDA技術(shù),采用當(dāng)前國(guó)際先進(jìn)的設(shè)計(jì)方法和理念,改革傳統(tǒng)的課程設(shè)計(jì)方法,已經(jīng)成為一種趨勢(shì)[3]。用中小規(guī)模集成電路設(shè)計(jì)的數(shù)字系統(tǒng)存在以上諸多缺點(diǎn),而運(yùn)用EDA技術(shù)、可編程邏輯器件設(shè)計(jì)數(shù)字系統(tǒng)就成為行之有效的方法。這種設(shè)計(jì)方法從系統(tǒng)總體要求出發(fā),自上而下地將設(shè)計(jì)細(xì)化,將功能具體化、模塊化;直到最低層的模塊適合用硬件描述語(yǔ)言或原理圖描述為止,最后形成數(shù)字系統(tǒng)的頂層文件;再經(jīng)EDA軟件的自動(dòng)處理而完成設(shè)計(jì)。

QuartusII是Altera公司的第四代EDA開(kāi)發(fā)軟件,此軟件提供了一種與結(jié)構(gòu)無(wú)關(guān)的全集成化環(huán)境,將設(shè)計(jì)、綜合、布局和布線、系統(tǒng)的驗(yàn)證都整合到一個(gè)無(wú)縫的環(huán)境中,使設(shè)計(jì)者能方便地對(duì)Altera公司的PLD系列產(chǎn)品進(jìn)行設(shè)計(jì)輸入、快速處理和器件編程。是應(yīng)用廣泛的EDA開(kāi)發(fā)軟件之一。CPLD/FPGA通稱為可編程邏輯器件,其中FPGA是英文Field Programmable Gate Array的縮寫(xiě),即現(xiàn)場(chǎng)可編程門陣列,它是在PAL、GAL、EPLD等可編程邏輯器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。目前,QuartusII開(kāi)發(fā)軟件和CPLD/FPGA器件作為EDA開(kāi)發(fā)工具被越來(lái)越廣泛的應(yīng)用到大型數(shù)字系統(tǒng)的設(shè)計(jì)中。

3. EDA技術(shù)在數(shù)字電路課程設(shè)計(jì)中的應(yīng)用

多功能數(shù)字電子鐘的設(shè)計(jì)是數(shù)字電路設(shè)計(jì)中的一個(gè)典型應(yīng)用,用中小規(guī)模集成電路實(shí)現(xiàn)時(shí),用到的器件較多,連線比較復(fù)雜,可靠性差。下面就以基于ALTERA公司的FPGA器件CycloneII240C8芯片和QuartusII9.0EDA開(kāi)發(fā)系統(tǒng)進(jìn)行多功能數(shù)字鐘的設(shè)計(jì)為例來(lái)介紹數(shù)字電路系統(tǒng)的一般設(shè)計(jì)方法。運(yùn)用此種方法進(jìn)行課程設(shè)計(jì)時(shí),需要先掌握QuartusII軟件開(kāi)發(fā)環(huán)境的使用和硬件描述語(yǔ)言VHDL語(yǔ)言的編程,掌握相關(guān)CPLD/FPGA實(shí)驗(yàn)開(kāi)發(fā)系統(tǒng)的使用。

(一)數(shù)字鐘的設(shè)計(jì)要求

(1)具有時(shí),分,秒計(jì)數(shù)顯示功能,以24小時(shí)循環(huán)計(jì)時(shí),由6個(gè)7段共陰極數(shù)碼管顯示;

(2)能夠通過(guò)手動(dòng)按鍵實(shí)現(xiàn)清零和調(diào)節(jié)小時(shí)、分鐘功能;

(3)具有整點(diǎn)報(bào)時(shí)功能,當(dāng)時(shí)鐘計(jì)數(shù)為59’51”、59’53”、59’55”、59’57”時(shí),揚(yáng)聲器發(fā)出頻率為1024Hz的聲音,在59’59”即到整點(diǎn)時(shí),揚(yáng)聲器發(fā)出最后一聲整點(diǎn)報(bào)時(shí),頻率為4096Hz。

(4)用VHDL語(yǔ)言來(lái)完成上述電路功能的軟件設(shè)計(jì)和軟件仿真,仿真結(jié)果正確后,在實(shí)驗(yàn)系統(tǒng)上進(jìn)行由硬件電路的下載和調(diào)試。

(二)數(shù)字鐘的設(shè)計(jì)方案

多功能數(shù)字鐘電路的系統(tǒng)結(jié)構(gòu)框圖如圖1所示,由系統(tǒng)時(shí)鐘、控制電路、秒計(jì)數(shù)器、分計(jì)數(shù)器、小時(shí)計(jì)數(shù)器、譯碼器、顯示器和揚(yáng)聲器組成;控制電路負(fù)責(zé)控制計(jì)數(shù)器計(jì)時(shí)、校時(shí)和揚(yáng)聲器報(bào)時(shí),譯碼器將各計(jì)數(shù)器輸出的BCD碼計(jì)數(shù)值轉(zhuǎn)換成七段碼送到顯示器,顯示器顯示時(shí)、分、秒計(jì)時(shí)結(jié)果。

介于所使用的實(shí)驗(yàn)系統(tǒng)中有現(xiàn)成的譯碼器和顯示器部分硬件電路,故只對(duì)圖1所示控制電路和時(shí)、分、秒計(jì)數(shù)器模塊進(jìn)行軟件設(shè)計(jì),由VHDL語(yǔ)言編寫(xiě)源代碼來(lái)實(shí)現(xiàn)。

(三)數(shù)字鐘的實(shí)現(xiàn)

在設(shè)計(jì)過(guò)程中采用層次化設(shè)計(jì)方法進(jìn)行設(shè)計(jì),編寫(xiě)源程序,為了簡(jiǎn)化設(shè)計(jì)把控制計(jì)時(shí)和調(diào)時(shí)部分功能放到計(jì)數(shù)模塊中,報(bào)時(shí)部分專門用一個(gè)模塊,故將數(shù)字鐘的實(shí)現(xiàn)分成秒、分、時(shí)三個(gè)計(jì)數(shù)模塊和一個(gè)報(bào)時(shí)模塊構(gòu)成,報(bào)時(shí)模塊同時(shí)完成對(duì)報(bào)時(shí)輸入信號(hào)的分頻。

通過(guò)系統(tǒng)分析論證后,在QuartusII9.0環(huán)境下,用VHDL硬件編程語(yǔ)言編寫(xiě)數(shù)字鐘的報(bào)時(shí)模塊、秒計(jì)數(shù)模塊、分計(jì)數(shù)模塊和時(shí)計(jì)數(shù)模塊源代碼,即分別對(duì)應(yīng)alert.vhd、second.vhd、minute.vhd、hour.vhd文本文件,對(duì)這四個(gè)模塊分別進(jìn)行編譯、綜合和仿真測(cè)試無(wú)誤后,生成這四個(gè)模塊的符號(hào)圖,最后通過(guò)原理圖連接的方式把以上各模塊生成的圖形符號(hào)連在一起形成頂層的原理圖,實(shí)現(xiàn)多功能的數(shù)字鐘。下面給出通過(guò)原理圖的形式所設(shè)計(jì)的頂層原理圖如圖2所示,頂層設(shè)計(jì)文件為clock.bdf,頂層實(shí)體圖如圖3所示,當(dāng)然也可以通過(guò)元件例化語(yǔ)句來(lái)生成頂層實(shí)體。

(四)功能仿真與下載

以上各個(gè)模塊設(shè)計(jì)好以后,都可以利用軟件進(jìn)行仿真,得到正確的功能仿真結(jié)果后,在頂層的設(shè)計(jì)中調(diào)用各功能模塊,完成頂層原理圖或?qū)嶓w的設(shè)計(jì),最后針對(duì)頂層的實(shí)體再進(jìn)行功能仿真,仿真結(jié)果如圖4所示,從仿真結(jié)果的部分截圖中可以得到該數(shù)字鐘能夠?qū)崿F(xiàn)正常計(jì)時(shí)的功能。

仿真正確后,選定好所選用的實(shí)驗(yàn)系統(tǒng)的配置芯片,鎖定引腳,完成引腳配置,重新進(jìn)行編譯綜合后,即可生成下載文件clock.sof,將此文件下載到選定的目標(biāo)芯片,接上器件,完成整個(gè)系統(tǒng)的設(shè)計(jì)。經(jīng)過(guò)在杭州康芯電子有限公司生產(chǎn)的GW48EDA/SOPC實(shí)驗(yàn)開(kāi)發(fā)系統(tǒng)下載驗(yàn)證,該設(shè)計(jì)完全符合數(shù)字鐘的功能要求。

4.結(jié)束語(yǔ)

通過(guò)將EDA技術(shù)應(yīng)用于數(shù)字電路課程設(shè)計(jì)提升了學(xué)生對(duì)數(shù)字電路的認(rèn)識(shí),在設(shè)計(jì)過(guò)程中可以預(yù)先進(jìn)行仿真,仿真有誤可以修改設(shè)計(jì),在這個(gè)過(guò)程中不必搭接電路,做到有錯(cuò)就隨時(shí)修改,不用擔(dān)心設(shè)計(jì)實(shí)驗(yàn)失敗的風(fēng)險(xiǎn)。通過(guò)EDA技術(shù)不僅可以很好地鍛煉學(xué)生的綜合設(shè)計(jì)開(kāi)發(fā)能力和動(dòng)手能力,從而激發(fā)他們的學(xué)習(xí)興趣,還可以大大節(jié)約數(shù)字電路課程設(shè)計(jì)實(shí)驗(yàn)的成本,提高設(shè)計(jì)效率,培養(yǎng)了他們解決問(wèn)題的綜合能力,因此,使用EDA技術(shù)必將是數(shù)字電路實(shí)踐課程改革的新動(dòng)向。

參考文獻(xiàn)

[1] 潘松,黃繼業(yè). EDA技術(shù)實(shí)用教程.北京:科學(xué)出版社,2010.

第9篇:電子設(shè)計(jì)應(yīng)用范文

關(guān)鍵詞:LabVIEW程序設(shè)計(jì);模擬電子技術(shù);電路仿真

中圖分類號(hào):TP391.9 文獻(xiàn)標(biāo)識(shí)碼:A 文章編號(hào):1009-3044(2013)18-4328-03

模擬電子技術(shù)實(shí)驗(yàn)是電子技術(shù)的一門重要的,實(shí)踐性很強(qiáng)的專業(yè)基礎(chǔ)課程。隨著模擬電路技術(shù)和計(jì)算機(jī)技術(shù)的飛速發(fā)展,EDA(electronic design automation)技術(shù)作為電子設(shè)計(jì)與制造中的主流技術(shù),已成為理工科專業(yè)學(xué)生必備技能之一。EDA 軟件引入實(shí)驗(yàn)教學(xué) ,可以讓學(xué)生了解新技術(shù)新方法的運(yùn)用,拓展思維,培養(yǎng)創(chuàng)新能力,如基于SPICE的各種針對(duì)模電的仿真技術(shù),能仿真電路運(yùn)行時(shí)的瞬時(shí)狀況,并能觀察各個(gè)節(jié)點(diǎn)的波形變化[1]。如Pspice、Protel等,有些還配有豐富的虛擬儀器和外設(shè),能形象直觀表現(xiàn)和測(cè)量電路工作現(xiàn)Tinna,Multisim等。EDA實(shí)踐教學(xué)讓學(xué)生真正體驗(yàn)工程師的產(chǎn)品設(shè)計(jì)制造流程,實(shí)現(xiàn)對(duì)學(xué)生的工程素質(zhì)培養(yǎng)。

作為一種不是專業(yè)的EDA開(kāi)發(fā)軟件,美國(guó)國(guó)家儀器公司(National Instrunents Corpotion,NI)認(rèn)為[2],虛擬儀器是由計(jì)算機(jī)硬件資源、模塊化儀器硬件和用于數(shù)據(jù)分析、過(guò)程通信及圖形用戶界面的軟件組成的測(cè)控系統(tǒng),是一種計(jì)算機(jī)操縱的模塊化儀器系統(tǒng)。 過(guò)去40年的時(shí)間里,美國(guó)國(guó)家儀器公司(NI)通過(guò)虛擬儀器技術(shù)為測(cè)試測(cè)量和自動(dòng)化領(lǐng)域帶來(lái)了一場(chǎng)革新:虛擬儀器技術(shù)把現(xiàn)成即用的商業(yè)技術(shù)與創(chuàng)新的軟、硬件平臺(tái)相集成,從而為嵌入式設(shè)計(jì)、工業(yè)控制以及測(cè)試和測(cè)量提供了一種獨(dú)特的解決方案。使用虛擬儀器技術(shù),工程師可以利用圖形化開(kāi)發(fā)軟件方便、高效的創(chuàng)建完全自定義的解決方案,以滿足靈活多變的需求趨勢(shì)。

1 虛擬儀器與LabVIEW技術(shù)

虛擬儀器就是建立在軟件架構(gòu)上的儀器系統(tǒng),通用的計(jì)算機(jī)作為儀器的硬件平臺(tái),利用計(jì)算機(jī)強(qiáng)大的運(yùn)輸、儲(chǔ)存、調(diào)用、顯示和文件管理功能,將傳統(tǒng)儀器的功能軟件化,構(gòu)成與傳統(tǒng)儀器相似而又主要依賴計(jì)算機(jī)系統(tǒng)的特殊儀器系統(tǒng)[3]。虛擬儀器的正常工作是通過(guò)軟件系統(tǒng)完成的,軟件系統(tǒng)既要負(fù)責(zé)硬件的正常控制,也要對(duì)數(shù)據(jù)進(jìn)行分析和處理,其主要分為操作系統(tǒng),儀器系統(tǒng)和處理應(yīng)用軟件。操作系統(tǒng)一般就是PC機(jī)自身的操作系統(tǒng)。由于其結(jié)合了傳統(tǒng)的PC架構(gòu),虛擬儀器因此具有很高的可靠性和可維護(hù)性。用戶可以根據(jù)自身需求來(lái)對(duì)儀器的外觀和功能進(jìn)行深度開(kāi)發(fā),而且由于計(jì)算機(jī)性能的強(qiáng)大,虛擬儀器具有測(cè)量精度高,系統(tǒng)搭建方便,數(shù)據(jù)采集處理能力強(qiáng)等一系列特點(diǎn)。

LabVIEW(Laboratory Virtual Instrumentation Engineering Workbench,實(shí)驗(yàn)室虛擬儀器工程平臺(tái))是由NI(美國(guó)國(guó)家儀器)開(kāi)發(fā)的圖形化程序編譯平臺(tái)[4]。和傳統(tǒng)編程語(yǔ)言不同的是,圖形化編程語(yǔ)言的程序流程采用了"數(shù)據(jù)流"的概念,其優(yōu)勢(shì)是設(shè)計(jì)者在完成系統(tǒng)組件的搭建的同時(shí),就完成了軟件的編寫(xiě)。LabVIEW創(chuàng)新性的引入了虛擬儀器的概念,用戶可以通過(guò)友好的人機(jī)交互界面直接控制儀器。LabVIEW提供了大量的庫(kù)函數(shù),包括:信號(hào)截取、信號(hào)分析、機(jī)器視覺(jué)、數(shù)值運(yùn)算、邏輯運(yùn)算、聲音震動(dòng)分析、數(shù)據(jù)存儲(chǔ)等。由于LabVIEW具有特殊的圖形程序,簡(jiǎn)單易懂的開(kāi)發(fā)接口,豐富的通信接口支持,大大縮短了開(kāi)發(fā)原型的速度,也提高了軟件的可維護(hù)性,因此逐漸受到系統(tǒng)開(kāi)發(fā)及研究人員的喜愛(ài)。目前廣泛的被應(yīng)用于工業(yè)自動(dòng)化領(lǐng)域。

2 模擬電子電路運(yùn)用LabVIEW設(shè)計(jì)的原理和方法

虛擬儀器(VI)即LABVIEW應(yīng)用程序,它是使用LabVIEW開(kāi)發(fā)平臺(tái)編制的程序,簡(jiǎn)稱為VI。VI包括三個(gè)部分:程序前面板、框圖程序和圖標(biāo)/連接器[5]。

程序前面板用于設(shè)置輸入數(shù)值和觀察輸出量,用于模擬真實(shí)儀表的前面板。在程序前面板上,輸入量被稱為控制(Controls),輸出量被稱為顯示(Indicators)??刂坪惋@示是以各種圖標(biāo)形式出現(xiàn)在前面板上,如旋鈕、開(kāi)關(guān)、按鈕、圖表、圖形等,這使這得前面板直觀易懂。下面是一個(gè)溫度計(jì)程序(Thermometer VI)的前面板。

每一個(gè)程序前面板都對(duì)應(yīng)著一段框圖程序??驁D程序用LabVIEW圖形編程語(yǔ)言編寫(xiě),可以把它理解成傳統(tǒng)程序的源代碼??驁D程序由端口、節(jié)點(diǎn)、圖框和連線構(gòu)成。其中端口被用來(lái)同程序前面板的控制和顯示傳遞數(shù)據(jù),節(jié)點(diǎn)被用來(lái)實(shí)現(xiàn)函數(shù)和功能調(diào)用,圖框被用來(lái)實(shí)現(xiàn)結(jié)構(gòu)化程序控制命令,而連線代表程序執(zhí)行過(guò)程中的數(shù)據(jù)流,定義了框圖內(nèi)的數(shù)據(jù)流動(dòng)方向。上述溫度計(jì)程序(Thermometer VI)的框圖程序如下:

圖標(biāo)/連接器是子VI被其它VI調(diào)用的接口。圖標(biāo)是子VI在其他程序框圖中被調(diào)用的節(jié)點(diǎn)表現(xiàn)形式;而連接器則表示節(jié)點(diǎn)數(shù)據(jù)的輸入/輸出口,就象函數(shù)的參數(shù)。用戶必須指定連接器端口與前面板的控制和顯示一一對(duì)應(yīng)。LabVIEW具有多個(gè)圖形化的操作模板,用于創(chuàng)建和運(yùn)行程序。這些操作模板可以隨意在屏幕上移動(dòng),并可以放置在屏幕的任意位置。操縱模板共有三類,為工具(Tools)模板、控制(Controls)模板和功能(Functions)模板。比如模擬電子電路中常用的信號(hào)處理子模板:包括信號(hào)發(fā)生、時(shí)域及頻域分析功能模塊

LabVIEW的強(qiáng)大功能歸因于它的層次化結(jié)構(gòu),用戶可以把創(chuàng)建的VI程序當(dāng)作子程序調(diào)用,以創(chuàng)建更復(fù)雜的程序,而這種調(diào)用的層次是沒(méi)有限制的。與一般的EDA軟件設(shè)計(jì)編程仿真下載的過(guò)程不同,模擬電子電路系統(tǒng)仿真功能直接由軟件即可實(shí)現(xiàn)。硬件設(shè)計(jì)可通過(guò)LabVIEW相應(yīng)的數(shù)據(jù)采集卡或GPIB、PXI、VXI等各種總線系統(tǒng)與外部硬件電路連接實(shí)現(xiàn)。

3 在模擬電子電路中的應(yīng)用實(shí)例

基于LabVIEW上述特點(diǎn),結(jié)合教學(xué)和科研需要,我們選擇LabVIEW作為開(kāi)發(fā)平臺(tái),采用北京中科泛華測(cè)控技術(shù)有限公司開(kāi)發(fā)的采集器,我們構(gòu)建了實(shí)驗(yàn)室虛擬儀器系統(tǒng)。

一個(gè)多功能信號(hào)發(fā)生器設(shè)計(jì)是模擬電子技術(shù)實(shí)驗(yàn)中一個(gè)非常重要的綜合實(shí)驗(yàn), 在傳統(tǒng)的實(shí)驗(yàn)中,采用元器件,面包板進(jìn)行設(shè)計(jì),同時(shí)用示波器測(cè)量激勵(lì)信號(hào)和響應(yīng)信號(hào)的頻率、幅值,再實(shí)現(xiàn)其他參數(shù)時(shí)需要額外增加元件,造成電路結(jié)構(gòu)復(fù)雜,由于波形輸出為模擬信號(hào),輸出不精確,會(huì)有過(guò)沖,雜散等一系列問(wèn)題。LabVIEW的強(qiáng)大功能使得其成為虛擬儀器設(shè)計(jì)的最佳選擇在LabVIEW的控制模板中加入相關(guān)的按鍵和開(kāi)關(guān),由于控制模板的自由度很高,所以選擇同時(shí)放置3個(gè)顯示器,這樣可以同屏顯示3種不同波形,較容易比對(duì)。此外,加入頻率選擇控件,幅值選擇控件,以及開(kāi)關(guān)等必要按鍵。頻率選擇控件簡(jiǎn)化為數(shù)值輸入控件,這樣可以直接輸入需要的幅值和頻率等信息,將鼠標(biāo)移至旋鈕單擊右鍵選擇屬性選項(xiàng),在隨后彈出的對(duì)話框中的外觀選項(xiàng)的標(biāo)簽中將這些旋鈕分別命名。此外,在前面板加入了數(shù)字濾波器的相關(guān)控件,這樣就可以將多功能信號(hào)發(fā)生器和數(shù)字濾波器結(jié)合起來(lái),通過(guò)在虛擬面板上的操作,既可以輸出需要的信號(hào)波形,又可分析數(shù)字濾波器在時(shí)域上的功能。

程序部分的設(shè)計(jì)是整個(gè)設(shè)計(jì)的核心,其中,每個(gè)程序框可以認(rèn)為是傳統(tǒng)編程語(yǔ)言中的源代碼,而所有的程序框就是VI源程序的最重要的組成部分,除此之外,節(jié)點(diǎn),端子,連線構(gòu)成了程序框之間的聯(lián)系。其中,用節(jié)點(diǎn)實(shí)現(xiàn)了對(duì)函數(shù)的功能調(diào)用;用端子用于傳輸前面板和后臺(tái)之間的數(shù)據(jù)。連線的作用是順序執(zhí)行程序的數(shù)據(jù)流并且指明數(shù)據(jù)流動(dòng)方向。

程序調(diào)試成功之后的運(yùn)行結(jié)果如圖5所示,如圖,前面板上顯示,程序輸出了一個(gè)頻率為1Hz,采樣頻率為100Hz,不使用濾波器的信號(hào)波形,從時(shí)域波形上看,信號(hào)質(zhì)量很好。

4 結(jié)束語(yǔ)

本文主要分析了基于LabVIEW的模擬電子電路設(shè)計(jì)與仿真,通過(guò)以上的敘述,可以看出虛擬儀器利用個(gè)人計(jì)算機(jī)強(qiáng)大的圖形環(huán)境和在線幫助功能,建立虛擬儀器面板,完成對(duì)儀器的控制,數(shù)據(jù)分析與顯示,代替?zhèn)鹘y(tǒng)儀器,改變傳統(tǒng)儀器的使用方式,提高儀器的功能和使用效率,使用戶可以根據(jù)自己的需要定義儀器的功能,為模擬電子電路設(shè)計(jì)和仿真提供了另一個(gè)高效易用的軟件平臺(tái)。

參考文獻(xiàn):

[1] 陸綺榮.基于虛擬儀器技術(shù)個(gè)人實(shí)驗(yàn)室的構(gòu)建[M].北京:電子工業(yè)出版社,2006.

[2] 劉君華,賈惠芹,丁暉等.虛擬儀器圖形化編程語(yǔ)言LabVIEW教程[M].西安:西安電子科技大學(xué)出版社,2007.

[3] 師黎.虛擬儀器技術(shù)在實(shí)驗(yàn)室建設(shè)中的應(yīng)用研究[J].鄭州工業(yè)大學(xué)學(xué)報(bào),1999,70(2):30-32.

[4] 袁淵,古軍.虛擬儀器基礎(chǔ)教程[M].成都:電子科技大學(xué)出版社,2002.

[5] National Instruments.Using LabVIEWto Create Multithreaded VIs [M].Texas: National Instruments,2000.

[6] 陳錫輝,張銀紅.LabVIEW8.20程序設(shè)計(jì)[M].北京:清華大學(xué)出版社,2007.

[7] 董爾令.電子技術(shù)[M].北京:科學(xué)出版社,2006.

[8] 雷震山,趙晨光,魏麗,等.LabVlEw8.20基礎(chǔ)教程[M].北京:中國(guó)鐵道出版社,2008.

[9] 趙立新,郭利強(qiáng),盛振旗.信號(hào)發(fā)生器中的寬帶調(diào)頻技術(shù)研究[J].國(guó)外電子測(cè)量技術(shù),2009,28(5):35-37.

[10] NI.LabVIEW user Manual[M].America:National Instrument Corporation,1998.

[11] NI.NI DAQ User Manual for PC Compatibles[M].America:National Instrument Corporation,2001.

[12] 楊樂(lè)平,等.LabVIEW高級(jí)程序設(shè)計(jì)[M].北京:清華大學(xué)出版社,2003.

[13] 童詩(shī)白,華成英.模擬電子技術(shù)基礎(chǔ)[M].北京:高等教育出版社,2001.

[14] 趙劍鋒,等.基于LabVIEW的自動(dòng)控制原理虛擬實(shí)驗(yàn)系統(tǒng)[J].電氣電子教學(xué)學(xué)報(bào),2007(2):35-40.