公務(wù)員期刊網(wǎng) 精選范文 數(shù)字電路設(shè)計(jì)范文

數(shù)字電路設(shè)計(jì)精選(九篇)

前言:一篇好文章的誕生,需要你不斷地搜集資料、整理思路,本站小編為你收集了豐富的數(shù)字電路設(shè)計(jì)主題范文,僅供參考,歡迎閱讀并收藏。

數(shù)字電路設(shè)計(jì)

第1篇:數(shù)字電路設(shè)計(jì)范文

關(guān)鍵詞:Multisim;輔助電路設(shè)計(jì);VHDL

在以往進(jìn)行電路設(shè)計(jì)時(shí),設(shè)計(jì)理念往往較為單一,并以從下至上為主體,依托試探等方法設(shè)計(jì)工作就能順利開展。通常情況下,電路參數(shù)需要預(yù)先設(shè)定,這就需要對以往的電路數(shù)據(jù)進(jìn)行分析,而后根據(jù)經(jīng)驗(yàn)對參數(shù)進(jìn)行確定,在這一階段中的模型建設(shè)大多服務(wù)于電路特性研究。通過對電路及器件進(jìn)行簡單模擬,就能為后續(xù)電路的連接及標(biāo)準(zhǔn)預(yù)估奠定基礎(chǔ),從而促使其各項(xiàng)指標(biāo)得到預(yù)設(shè)狀態(tài)。該種設(shè)計(jì)工作的開展需要大量的資金支持,并且應(yīng)用能效普遍不高。在信息技術(shù)不斷拓展應(yīng)用的過程中,部分要求較高的電路可以以此應(yīng)用為前提逐步推進(jìn)電路設(shè)計(jì)工作。Multisim作為設(shè)計(jì)工具,能夠通過計(jì)算機(jī)對電路進(jìn)行科學(xué)設(shè)計(jì),實(shí)現(xiàn)其能效作用的最大化發(fā)揮[1]。

1標(biāo)準(zhǔn)通用器件的設(shè)計(jì)方法

Multisim是現(xiàn)階段應(yīng)用較廣的設(shè)計(jì)工具,能夠?qū)崿F(xiàn)對電路的仿真建設(shè)及設(shè)計(jì),在實(shí)際操作階段,Multisim能夠?qū)⑿畔①Y源進(jìn)行結(jié)構(gòu)性整合,而后在原理圖能夠高效傳輸?shù)幕A(chǔ)上,應(yīng)用相關(guān)設(shè)備就能對數(shù)據(jù)進(jìn)行分析,仿真環(huán)境中各項(xiàng)數(shù)據(jù)就能高效滲透及顯示。以模60計(jì)數(shù)器數(shù)字電路為基準(zhǔn),促使其能效作用充分發(fā)揮,就需要對中間核定計(jì)數(shù)進(jìn)行標(biāo)準(zhǔn)限制,這就需要將其劃分為兩組不同層次。第一級(jí)應(yīng)當(dāng)服務(wù)于個(gè)位計(jì)數(shù),而再一級(jí)則應(yīng)當(dāng)以十位為基準(zhǔn)進(jìn)行計(jì)數(shù)。由于以上兩級(jí)所涵蓋的計(jì)數(shù)范疇并不一致,這就應(yīng)當(dāng)對原始數(shù)據(jù)進(jìn)行處理,并應(yīng)用具有清零作用的芯片,確保其應(yīng)用能效與設(shè)計(jì)要求相契合。為了對模60計(jì)數(shù)器的運(yùn)作流程進(jìn)行質(zhì)量控制,提高計(jì)數(shù)結(jié)果的精準(zhǔn)度,在Multisim設(shè)計(jì)平臺(tái)上就需要將側(cè)重點(diǎn)放在電路結(jié)構(gòu)設(shè)計(jì)中,促使電路情況能夠全面體現(xiàn)。實(shí)際上在Multisim運(yùn)行階段需要在元器件庫中選取相應(yīng)規(guī)格及標(biāo)準(zhǔn)的顯示器,而后在對方波信號(hào)源進(jìn)行精準(zhǔn)掌控后,就需要選擇與實(shí)際需求相符合的邏輯分析儀,對其結(jié)果進(jìn)行探究就能科學(xué)衡量計(jì)數(shù)工作的精準(zhǔn)度。在實(shí)際分析階段,應(yīng)當(dāng)嚴(yán)格按照相應(yīng)作用機(jī)制對其進(jìn)行累加計(jì)數(shù)。當(dāng)兩級(jí)都能夠達(dá)到相應(yīng)值量標(biāo)準(zhǔn)時(shí),計(jì)數(shù)器將會(huì)恢復(fù)到最初始狀態(tài)。后續(xù)循環(huán)計(jì)數(shù)就可以以時(shí)間規(guī)律為基準(zhǔn),這樣就能促使模60的計(jì)數(shù)功能充分發(fā)揮。

2以VHDL為主體的設(shè)計(jì)方法

VHDL實(shí)際上就是硬件展示語言,其本身具有一定的國際特性,標(biāo)準(zhǔn)界限也相當(dāng)清晰,相對不斷創(chuàng)新發(fā)展的Multisim,在實(shí)際運(yùn)作階段就能以此為語言主體形式逐步推進(jìn)對電路的設(shè)計(jì)工作,并且軟件仿真器也具有一定的多樣化特點(diǎn),實(shí)現(xiàn)對不同模型的優(yōu)化結(jié)合。Multisim在實(shí)際設(shè)計(jì)階段,能夠根據(jù)要求發(fā)揮其編譯功能,這與器件設(shè)計(jì)可以相互分離,實(shí)現(xiàn)對細(xì)節(jié)的精準(zhǔn)把控。CLR在應(yīng)用框架中占據(jù)重要地位,具有人工清零作用,作為端體形式,當(dāng)其值量為1時(shí),計(jì)數(shù)器所輸出的數(shù)值就會(huì)隨之改變,并以零為主體顯示出來,需要注意的是,只有其值量達(dá)到1,計(jì)數(shù)器才能顯示數(shù)據(jù),實(shí)現(xiàn)輸出能效。當(dāng)計(jì)數(shù)器顯示數(shù)據(jù)為零,計(jì)數(shù)允許端的數(shù)值為1時(shí),可以根據(jù)時(shí)鐘狀態(tài)逐步推進(jìn)計(jì)數(shù)工作,確保輸出狀態(tài)與實(shí)際情況相符合。在最初階段,需要確保時(shí)刻的個(gè)位及十位都達(dá)到清零標(biāo)準(zhǔn),而后在開始進(jìn)行計(jì)數(shù)的流程化項(xiàng)目中,個(gè)位數(shù)值將會(huì)呈現(xiàn)出從0至9的趨勢狀態(tài),而十位的數(shù)值變化則與其存在一定差異,從0至5進(jìn)行變化。當(dāng)以上兩個(gè)部分的數(shù)值度到達(dá)最頂端狀態(tài)時(shí),人工清零能效就會(huì)發(fā)揮,而后就會(huì)重新開始下一輪的計(jì)數(shù),因此不難發(fā)現(xiàn),該流程具有限制的循環(huán)性特點(diǎn)。在這一階段,當(dāng)進(jìn)位輸出端口發(fā)生進(jìn)位變化時(shí),就說明已經(jīng)完成清零并進(jìn)入了下一階段的計(jì)數(shù)[2]。以VHDL為依托進(jìn)行語言設(shè)計(jì),是較為常見的方法,其本身權(quán)限范圍可以根據(jù)實(shí)際情況進(jìn)行拓展,并實(shí)現(xiàn)對不同模值進(jìn)行計(jì)數(shù),相對的計(jì)數(shù)器功能也能充分發(fā)揮,實(shí)現(xiàn)這一指標(biāo)需要對程序進(jìn)行調(diào)整,將目標(biāo)值劃分為多個(gè)部分,而后對其數(shù)值進(jìn)行乘積就能獲取相應(yīng)需求信息。通過對VHDL語言進(jìn)行充分利用,就能對電路進(jìn)行合理設(shè)計(jì),不僅如此仿真后的功能也將趨于正常標(biāo)準(zhǔn),但在進(jìn)入到最后階段時(shí),其顯示的綜合結(jié)果也不一定百分之百的精準(zhǔn)。在特殊情況下,對其進(jìn)行延時(shí)處理就能確保顯示結(jié)果與實(shí)際電路運(yùn)行狀態(tài)更為貼近[3]。

3結(jié)束語

綜上所述,Multisim在進(jìn)行數(shù)字電路分析設(shè)計(jì)階段,其優(yōu)勢性能較為顯著,它能夠根據(jù)實(shí)際情況對數(shù)字電路的設(shè)計(jì)環(huán)境進(jìn)行模擬,這不僅能夠?qū)?shù)字電路的設(shè)計(jì)方向及主體進(jìn)行全面展示,更能進(jìn)一步提高電子系統(tǒng)的設(shè)計(jì)能效,促使系統(tǒng)環(huán)境更加安全、穩(wěn)定,凸顯設(shè)計(jì)的靈活性及科學(xué)性特色優(yōu)勢。

參考文獻(xiàn):

[1]周圍,韓建,于波.基于Multisim和Authorware的數(shù)字電路仿真實(shí)驗(yàn)平臺(tái)設(shè)計(jì)[J].實(shí)驗(yàn)技術(shù)與管理,2015,32(4).

[2]楊慶.基于Multisim的數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)研究[J].九江學(xué)院學(xué)報(bào)(自然科學(xué)版),2010,25(2).

第2篇:數(shù)字電路設(shè)計(jì)范文

關(guān)鍵詞:CDIO理念;數(shù)字電路設(shè)計(jì);實(shí)踐環(huán)節(jié)教學(xué)

一、引言

CDIO理念是由麻省理工學(xué)院等四所大學(xué)所創(chuàng)立,是一種全新的課程教學(xué)理念,主要涵蓋12項(xiàng)標(biāo)準(zhǔn),關(guān)注學(xué)生可操作性能力培養(yǎng),使學(xué)生能夠在主動(dòng)、積極的學(xué)習(xí)態(tài)度下,更加靈活、深入地參與到課程教學(xué)實(shí)踐活動(dòng)中。CDIO理念在數(shù)字電路設(shè)計(jì)實(shí)踐環(huán)節(jié)教學(xué)改革中的應(yīng)用,有助于學(xué)生綜合實(shí)踐能力的提升,對學(xué)生未來的工作與發(fā)展能夠產(chǎn)生重要的影響。本文基于CDIO理念的內(nèi)容,分析數(shù)字電路設(shè)計(jì)實(shí)踐環(huán)節(jié)教學(xué)的相關(guān)方式,以期能夠不斷提升學(xué)生的綜合實(shí)踐水平。

二、CDIO理念在數(shù)字電路設(shè)計(jì)實(shí)踐環(huán)節(jié)教學(xué)中應(yīng)用的價(jià)值

CDIO理念在數(shù)字電路設(shè)計(jì)實(shí)踐環(huán)節(jié)中的應(yīng)用,符合課程教學(xué)的客觀要求,能夠?yàn)閷W(xué)生未來的發(fā)展奠定良好的基礎(chǔ)。第一,符合課程教學(xué)的客觀要求。硬件課程入門相對較難,實(shí)踐環(huán)節(jié)是提升學(xué)生理論知識(shí)聯(lián)系實(shí)踐的重要方式,將CDIO理念融入數(shù)字電路設(shè)計(jì)實(shí)踐環(huán)節(jié)中,有助于豐富課程教學(xué)的內(nèi)容,轉(zhuǎn)變單一的教師講授課程教學(xué)方式,在充分激發(fā)學(xué)生實(shí)踐探究興趣、實(shí)踐參與熱情的基礎(chǔ)上,培養(yǎng)學(xué)生問題分析能力、問題解決能力,為學(xué)生數(shù)字電路設(shè)計(jì)綜合能力的提升奠定良好的基礎(chǔ)。[1]第二,符合社會(huì)用人的實(shí)際需求。信息化時(shí)代背景下,社會(huì)中用人單位對數(shù)字電路設(shè)計(jì)人才的需求不斷增加,將CDIO理念融入教學(xué)實(shí)踐環(huán)節(jié)中,通過針對性的情境設(shè)計(jì)、案例分析等方式,能夠使學(xué)生在實(shí)驗(yàn)參與、實(shí)驗(yàn)設(shè)計(jì)的過程中,形成一定的綜合問題分析能力,培養(yǎng)學(xué)生的創(chuàng)新意識(shí)與創(chuàng)新能力,為學(xué)生未來的工作與發(fā)展奠定良好的基礎(chǔ)。

三、基于CDIO理念的數(shù)字電路設(shè)計(jì)實(shí)踐環(huán)節(jié)教學(xué)改革對策

素質(zhì)教育理念下,基于CDIO理念的數(shù)字電路設(shè)計(jì)實(shí)踐教學(xué)環(huán)節(jié)教學(xué),可以通過基于課程教學(xué)的目標(biāo),循序漸進(jìn)開展綜合實(shí)驗(yàn)活動(dòng);開展合作探究的活動(dòng),增強(qiáng)學(xué)生實(shí)際電路設(shè)計(jì)能力等方式循序漸進(jìn)的展開。第一,基于課程教學(xué)的目標(biāo),循序漸進(jìn)開展綜合實(shí)驗(yàn)活動(dòng)。明確的課程教學(xué)目標(biāo)設(shè)計(jì),能夠使各項(xiàng)數(shù)字電路設(shè)計(jì)實(shí)踐教學(xué)環(huán)節(jié)能夠循序漸進(jìn)的展開,對學(xué)生知識(shí)的逐漸學(xué)習(xí)以及能力的不斷提升,能夠產(chǎn)生積極的影響。教師可以結(jié)合課程教學(xué)內(nèi)容進(jìn)行教學(xué)目標(biāo)的設(shè)計(jì),基于CDIO標(biāo)準(zhǔn)中3、5、7的要求,結(jié)合嘗試教學(xué)的方法,鼓勵(lì)學(xué)生多嘗試、多參與。[2]教師可以將數(shù)字電路設(shè)計(jì)課程教學(xué)實(shí)踐環(huán)節(jié)分成兩個(gè)層次,從最基礎(chǔ)的入門級(jí)電路編程開始,難度逐漸提升,最后使每一位學(xué)生均能夠完成實(shí)際的案例綜合實(shí)驗(yàn),初步具備實(shí)際的工程能力。實(shí)驗(yàn)教學(xué)環(huán)節(jié)中,教師需要秉持著良好的教學(xué)態(tài)度,平等對待每一位學(xué)生,給予學(xué)生充足的實(shí)驗(yàn)參與時(shí)間,并引導(dǎo)學(xué)生積極表述自己的觀點(diǎn),保證綜合實(shí)驗(yàn)教學(xué)活動(dòng)開展的效果。第二,開展合作探究的活動(dòng),增強(qiáng)學(xué)生實(shí)際電路設(shè)計(jì)能力。嘗試性實(shí)驗(yàn)的方式能夠緩解學(xué)生的心理壓力,教師需要給與學(xué)生更多的鼓勵(lì)與支持。素質(zhì)教育理念下不僅僅關(guān)注學(xué)生知識(shí)與技能的掌握情況,同時(shí)也比較關(guān)注學(xué)生合作意識(shí)、探究意識(shí)以及創(chuàng)新意識(shí)的培養(yǎng)。[3]素質(zhì)教育理念下,教師可以通過開展合作探究活動(dòng)的方式,將2名到4名學(xué)生劃分為一個(gè)小組,并且通過任務(wù)、資料查找、實(shí)驗(yàn)驗(yàn)收以及撰寫實(shí)驗(yàn)報(bào)道等方式,不斷提升學(xué)生的實(shí)際工程能力,使各項(xiàng)數(shù)字電路設(shè)計(jì)實(shí)踐教學(xué)活動(dòng)能夠更加貼近于科研項(xiàng)目研究流程,貼近于學(xué)生的實(shí)際生活。[4]比如教師可以為學(xué)生布置“編寫出租車計(jì)費(fèi)器、電梯控制器以及自動(dòng)售貨機(jī)”的相關(guān)任務(wù)。學(xué)生通過分組合作的方式,每一位學(xué)生負(fù)責(zé)完成一個(gè)項(xiàng)目,學(xué)生需要通過小組合作的方式,在規(guī)定的時(shí)間內(nèi)完成項(xiàng)目合作。學(xué)生需要明確分工每一個(gè)人的工作,通過方案的設(shè)計(jì)、可行性的論證以及相關(guān)資料的查找等方式,完成實(shí)驗(yàn)設(shè)計(jì)工作。在實(shí)驗(yàn)參與的過程中,形成一定的合作意識(shí)與探究能力,學(xué)會(huì)合作、學(xué)會(huì)分析。學(xué)生完成合作實(shí)驗(yàn)項(xiàng)目后,還需要進(jìn)行實(shí)驗(yàn)報(bào)告的撰寫,培養(yǎng)學(xué)生的邏輯分析能力。

四、結(jié)束語

信息化時(shí)代背景下,人才綜合實(shí)踐能力將會(huì)直接影響人才的綜合發(fā)展情況。教師可以通過基于課程教學(xué)的目標(biāo),循序漸進(jìn)開展綜合實(shí)驗(yàn)活動(dòng);開展合作探究的活動(dòng),增強(qiáng)學(xué)生實(shí)際電路設(shè)計(jì)能力等方式,將CDIO理念與數(shù)字電路設(shè)計(jì)實(shí)踐教學(xué)環(huán)節(jié)相互融合,為學(xué)生帶來全新的數(shù)字電路設(shè)計(jì)實(shí)踐學(xué)習(xí)體驗(yàn),使每一位學(xué)生都能夠在實(shí)驗(yàn)參與、實(shí)驗(yàn)設(shè)計(jì)的過程中,形成一定的綜合問題分析能力,真正體驗(yàn)實(shí)踐教學(xué)的價(jià)值,促進(jìn)學(xué)生的全面發(fā)展。

參考文獻(xiàn):

[1]郝勇靜,孟曉彩,謝娟,等.基于CDIO教育理念的工科物理化學(xué)課程教學(xué)改革與實(shí)踐[J].邯鄲職業(yè)技術(shù)學(xué)院學(xué)報(bào),2013,04(12):74-76.

[2]潘勁松.基于項(xiàng)目式教學(xué)的《高等數(shù)學(xué)》課程整體設(shè)計(jì)研究——以湖南機(jī)電職業(yè)技術(shù)學(xué)院電類專業(yè)為例[J].職業(yè)時(shí)空,2016,01(23):43-46+55.

[3]李道真,吳曉娟,郝艷榮,等.本科模擬電路理論及實(shí)驗(yàn)課程教學(xué)內(nèi)容和教學(xué)方法的改革與實(shí)踐[J].華北航天工業(yè)學(xué)院學(xué)報(bào),2011,S1(13):90-91+97.

第3篇:數(shù)字電路設(shè)計(jì)范文

關(guān)鍵詞:計(jì)算機(jī)應(yīng)用;電子技術(shù);高速數(shù)字;電路設(shè)計(jì)

由于近代科學(xué)技術(shù)發(fā)展的不斷深入,高新技術(shù)層出不窮,電子技術(shù)行業(yè)也得到了前所未有的改革,開始進(jìn)入了一個(gè)嶄新的電氣時(shí)代。高速數(shù)字電路通過電子技術(shù)和計(jì)算機(jī)技術(shù)的巧妙結(jié)合,能夠集成高速變化信號(hào)在電路中所產(chǎn)生的電感、電熔等模擬特性的電路,對整個(gè)電路的各項(xiàng)參數(shù)進(jìn)行調(diào)整和優(yōu)化,讓計(jì)算機(jī)高速數(shù)字電路系統(tǒng)保持一個(gè)理想的運(yùn)行狀態(tài)。計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)的過程中,最需要注意的還是各個(gè)元器件的搭配,否則會(huì)對電路信號(hào)甚至是電路元器件的正常運(yùn)行造成影響。但是,在實(shí)際的應(yīng)用中,計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)技術(shù)卻受到一些因素的影響,例如,信號(hào)線間距離的影響、阻抗不匹配的問題、電源平面間電阻和電感的影響等,都會(huì)對計(jì)算機(jī)高速數(shù)字電路技術(shù)的運(yùn)行效率產(chǎn)生影響,這也是要提升計(jì)算機(jī)高速數(shù)字技術(shù)的應(yīng)用效率的重中之重。作者結(jié)合自身多年工作經(jīng)驗(yàn),以及自身對計(jì)算機(jī)高速數(shù)字電路技術(shù)的了解,通過對計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)技術(shù)的分析,找出了主要對影響計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)技術(shù)的關(guān)鍵因素,同時(shí)也提出了幾點(diǎn)改進(jìn)建議,希望對提升計(jì)算機(jī)高速數(shù)字電路系統(tǒng)的運(yùn)行效率有所幫助,進(jìn)一步促進(jìn)電子產(chǎn)品行業(yè)的快速發(fā)展。

一、影響計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)技術(shù)的關(guān)鍵因素

1.1 信號(hào)線間距離

計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)技術(shù)的出現(xiàn),給電子設(shè)計(jì)領(lǐng)域帶來了新的突破,對計(jì)算機(jī)電子技術(shù)的發(fā)展有著極大的作用。由于各方面原因及計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)技術(shù)自身的特點(diǎn),現(xiàn)階段的計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)技術(shù)在應(yīng)用過程中卻存在著許多問題。其中信號(hào)線間距離對計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)有著明顯的影響,一般情況下,信號(hào)線間的距離會(huì)隨著印刷版電路密集度的增大而變化,越來越狹小,而在這個(gè)過程中,也會(huì)導(dǎo)致信號(hào)之間的電磁耦合增大,這樣就不會(huì)對其進(jìn)行忽略處理,會(huì)引發(fā)信號(hào)間的串?dāng)_現(xiàn)象,而且隨著時(shí)間的推移會(huì)越來越嚴(yán)重。

1.2 阻抗不匹配

在計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)技術(shù)應(yīng)用過程中,阻抗是影響其信號(hào)傳輸?shù)年P(guān)鍵因素,由于設(shè)計(jì)的不合理,造成阻抗增大,從而影響信號(hào)的傳輸。在現(xiàn)階段計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)的過程中經(jīng)常發(fā)現(xiàn)信號(hào)傳輸位置上的阻抗不相匹配的現(xiàn)象,這樣極易引發(fā)反射噪聲,而反射噪聲將會(huì)對信號(hào)造成一定的破壞,使得信號(hào)的完整性受到極大的影響。

1.3 電源平面間電阻和電感不穩(wěn)定

目前計(jì)算機(jī)高速數(shù)字化電路設(shè)計(jì)技術(shù)在諸多領(lǐng)域都得到廣泛的應(yīng)用,根據(jù)實(shí)際情況,利用先進(jìn)的電子技術(shù)進(jìn)行設(shè)計(jì),從而讓電子設(shè)備達(dá)到更好的運(yùn)行效果。現(xiàn)階段計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)中,由于電源平面間存在電阻和電感,使得大量電路輸出同時(shí)動(dòng)作時(shí),就會(huì)使整個(gè)電路產(chǎn)生較大的瞬態(tài)電流,這將會(huì)對極端級(jí)高速數(shù)字電路地線以及電源線上的電壓造成極大的影響,甚至?xí)a(chǎn)生波動(dòng)的現(xiàn)象。

二、優(yōu)化計(jì)算機(jī)高速數(shù)字電路技術(shù)的有效措施

2.1 優(yōu)化電路信號(hào)設(shè)計(jì),確保電路信號(hào)的完整性

為了確保計(jì)算機(jī)高速數(shù)字電路信號(hào)的完整性,要對計(jì)算機(jī)高速數(shù)字電路技術(shù)進(jìn)行合理的設(shè)計(jì),現(xiàn)階段計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)技術(shù)中,由于受到阻抗不匹配的影響,對電路信號(hào)的完整性也造成一定的影響,針對這點(diǎn)主要分為兩方面研究:一方面是對不同電路之間電路信號(hào)網(wǎng)的傳輸信號(hào)干擾情況進(jìn)行研究,也就是以上所提到的反射和干擾的問題;另一方面,要對不同信號(hào)在傳輸?shù)倪^程中,對電路信號(hào)網(wǎng)產(chǎn)生的干擾情況進(jìn)行分析。計(jì)算機(jī)高速數(shù)字電路在運(yùn)行的過程中,會(huì)受到阻抗不相匹配的因素而影響到電路信號(hào)的傳輸效率,而且,現(xiàn)階段計(jì)算機(jī)高速數(shù)字電路運(yùn)行的過程中,阻抗很難控制,經(jīng)常會(huì)出現(xiàn)阻抗過大或過小的現(xiàn)象,都會(huì)對電路信號(hào)傳播的波形產(chǎn)生一定的干擾,從而對計(jì)算機(jī)高速電路傳輸信號(hào)的完整性產(chǎn)生直接的影響。為了避免這類情況的發(fā)生,要對計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)技術(shù)展開研究,從正常理論來看,高速數(shù)字電路設(shè)計(jì)難以使電路與臨街阻抗的狀態(tài)相互符合,可以對計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)技術(shù)進(jìn)行改進(jìn),保持系統(tǒng)處于過阻抗?fàn)顟B(tài),這樣就能保證計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)不會(huì)受到阻抗不等的狀態(tài)而影響到計(jì)算機(jī)高速數(shù)字電路信息傳輸?shù)耐暾浴?/p>

2.2 優(yōu)化電路電源設(shè)計(jì),減少電源系統(tǒng)阻抗

從理論上來看,如果高速數(shù)字電路設(shè)計(jì)中,電源系統(tǒng)中不存在阻抗的話是電路設(shè)計(jì)最理想的狀態(tài),這樣整個(gè)信號(hào)的回路也不會(huì)存在阻抗耗損的問題,系統(tǒng)中的各個(gè)點(diǎn)的點(diǎn)位就會(huì)保持恒定的狀態(tài)。電源是計(jì)算機(jī)高速數(shù)字電路技術(shù)的重要組成元件,通過以上的分析得知,計(jì)算機(jī)高速數(shù)字電路設(shè)計(jì)中,由于受到電源平面間電阻和電感的影響,使得電源運(yùn)行過程中會(huì)出現(xiàn)過電壓的故障,也就是電源的波形質(zhì)量受到影響,嚴(yán)重影響到計(jì)算機(jī)高速數(shù)字電路運(yùn)行的可靠性。計(jì)算機(jī)高速數(shù)字電路系統(tǒng)運(yùn)行的過程中,就必須要考慮到電源的電阻和電感因素,而要減少電源面的電阻和電感對電源系統(tǒng)的影響,就必須對其采取降低的處理措施。從當(dāng)今計(jì)算機(jī)高速數(shù)字電路系統(tǒng)電源材質(zhì)的分析了解到,電路系統(tǒng)中大多數(shù)都是采用大面積銅質(zhì)材料,如果結(jié)合電源系統(tǒng)要求來分析的話,這些材料遠(yuǎn)遠(yuǎn)達(dá)不到計(jì)算機(jī)高速數(shù)字電路電源的標(biāo)準(zhǔn)要求,這樣在系統(tǒng)正常運(yùn)行的過程中勢必會(huì)受到一定的影響,對此,要將所有影響因素進(jìn)行綜合性的考慮和研究,可以采用樓電容應(yīng)用到電路中,這樣可以有效的避免或降低電源面電阻和電感對系統(tǒng)的影響,從而有效的提高計(jì)算機(jī)高速數(shù)字電路系統(tǒng)運(yùn)行的可靠性。

三、總結(jié)

綜上所述,由于現(xiàn)代社會(huì)信息化、科技化、電氣化進(jìn)程的不斷加深,也不斷促進(jìn)電子設(shè)計(jì)行業(yè)快速發(fā)展,電子設(shè)計(jì)技術(shù)將在實(shí)踐中不斷創(chuàng)新進(jìn)步,在社會(huì)經(jīng)濟(jì)快速發(fā)展的過程中以滿足新時(shí)期的電氣時(shí)代需求。通過對高速數(shù)字電路設(shè)計(jì)問題進(jìn)行有效合理的解決,對高速數(shù)字電路設(shè)計(jì)技術(shù)進(jìn)行完善和創(chuàng)新,更進(jìn)一步的促進(jìn)了現(xiàn)代化技術(shù)和電子設(shè)計(jì)行業(yè)的發(fā)展,為我們國民經(jīng)濟(jì)可持續(xù)發(fā)展帶來了有力保障?!?/p>

參考文獻(xiàn)

[1] 李琳琳. 高速數(shù)字電路設(shè)計(jì)中電源完整性分析[J]. 火控雷達(dá)技術(shù). 2010(02)

第4篇:數(shù)字電路設(shè)計(jì)范文

關(guān)鍵詞:數(shù)字電子電路;VHDL;EDA技術(shù);可編程芯片

中圖分類號(hào):TN702 文獻(xiàn)標(biāo)識(shí)碼:A 文章編號(hào):1009-2374(2013)19-0051-02

大規(guī)模集成電路的出現(xiàn)以及計(jì)算機(jī)技術(shù)的不斷更新?lián)Q代與廣泛應(yīng)用,促使數(shù)字電子電路的設(shè)計(jì)已經(jīng)發(fā)展到了一個(gè)更新的領(lǐng)域,用以下四方面便足以顯示:(1)硬件電路的設(shè)計(jì)越來越趨向軟件化;(2)數(shù)字電路發(fā)展愈加芯片化;(3)電子器件以及與其相關(guān)的技術(shù)研發(fā)越來越多地朝著服務(wù)于EDA的方向;(4)電路設(shè)計(jì)技術(shù)的發(fā)展趨勢朝著更加規(guī)范化、標(biāo)準(zhǔn)化的HDL硬件描述語言及EDA工具的推廣使用上。當(dāng)今的技術(shù)發(fā)展趨勢要求從業(yè)人員在進(jìn)行數(shù)字電子電路設(shè)計(jì)過程中,必須具備運(yùn)用電子計(jì)算機(jī)以及操作超大規(guī)模的可編程邏輯器件的能力。本文通過對以EDA與VHDL為基礎(chǔ)的數(shù)字電子電路開發(fā)設(shè)計(jì)過程進(jìn)行簡要敘述,為該技術(shù)的推廣運(yùn)用,做出必要的文獻(xiàn)研究支撐。

1 EDA技術(shù)概述以及其開發(fā)設(shè)計(jì)流程

1.1 EDA技術(shù)的基本知識(shí)

EDA技術(shù)指的是以計(jì)算機(jī)為工作平臺(tái),將應(yīng)用信息處理、計(jì)算機(jī)技術(shù)、智能化技術(shù)及電子技術(shù)進(jìn)行融合的最新成果,進(jìn)行電子產(chǎn)品的自動(dòng)設(shè)計(jì)。20世紀(jì)60年代中葉,隨著技術(shù)水平的不斷進(jìn)步逐漸,該技術(shù)結(jié)合了CAM、CAT、CAD以及CAE的綜合優(yōu)勢被逐步發(fā)展出來。

與其前身相比,EDA在以下五方面擁有著十分明顯的優(yōu)勢:(1)EDA技術(shù)能夠?qū)δ繕?biāo)進(jìn)行現(xiàn)場編程,并即時(shí)地實(shí)現(xiàn)在線升級(jí)。(2)硬件電路設(shè)計(jì)過程中采用軟件設(shè)計(jì)的方式,通過輸入波形、原理圖、編程語言等指令,可以在進(jìn)行硬件設(shè)計(jì)、修改、檢測中,不涉及任何硬件工具進(jìn)行特定作業(yè)。(3)產(chǎn)品直面設(shè)計(jì)自動(dòng)化。EDA技術(shù)能夠自動(dòng)地根據(jù)設(shè)計(jì)輸入的電路原理圖或者HDL進(jìn)行邏輯編譯、適配、布局、優(yōu)化等一系列工序調(diào)整并生成符合要求的目標(biāo)系統(tǒng)。換句話說,就是運(yùn)用電路功能完成對電子產(chǎn)品的測試、仿真、優(yōu)化全程操作。(4)EDA技術(shù)的經(jīng)濟(jì)實(shí)用性更加科學(xué)、合理,不僅設(shè)計(jì)成本保持在較低水平,設(shè)計(jì)的靈活性也大大提高,同時(shí)新技術(shù)的開發(fā)周期也明顯縮短。(5)集成化程度更加完善。EDA設(shè)計(jì)方法,還有另外一種稱呼:利用芯片進(jìn)行設(shè)計(jì)的方法,在集成芯片日益大規(guī)模的發(fā)展背景下,利用EDA技術(shù),可以實(shí)現(xiàn)在芯片上構(gòu)建系統(tǒng)的目的。

1.2 EDA技術(shù)的開發(fā)設(shè)計(jì)流程

EDA技術(shù)在設(shè)計(jì)方法上,通過對以往的“電路設(shè)計(jì)硬件搭試調(diào)試”模式進(jìn)行革命化的轉(zhuǎn)變,代之以計(jì)算機(jī)自動(dòng)化完成的模式(如圖1),完成了數(shù)字電子電路設(shè)計(jì)的巨大飛躍。

筆者將EDA技術(shù)設(shè)計(jì)過程中兩個(gè)最基本的組成部分設(shè)計(jì)載體:可編程邏輯器件PLD以及設(shè)計(jì)輸入:硬件描述語言VHDL進(jìn)行具體介紹。

2 可編程邏輯器件PLD

可編程邏輯器件(Programmable Logic Device,PLD)是一種電子零件、電子組件,簡而言之也是一種集成電路、芯片。PLD芯片屬于數(shù)字型態(tài)的電路芯片,而非模擬或混訊(同時(shí)具有數(shù)字電路與模擬電路)芯片。PLD與一般數(shù)字芯片不同的是:PLD內(nèi)部的數(shù)字電路可以在出廠后才規(guī)劃決定,有些類型的PLD也允許在規(guī)劃決定后再次進(jìn)行變更、改變,而一般數(shù)字芯片在出廠前就已經(jīng)決定其內(nèi)部電路,無法在出廠后再次改變。

3 硬件描述語言VHDL

3.1 VHDL的基本知識(shí)

VHDL全名Very-High-Speed Integrated Circuit Hardware Description Language,在電子工程領(lǐng)域,已成為事實(shí)上的通用硬件描述語言。具有以下特點(diǎn)與優(yōu)勢:(1)更強(qiáng)大的行為描述能力。有效避免具體的器件結(jié)構(gòu),為實(shí)現(xiàn)超大規(guī)模的電子系統(tǒng)設(shè)計(jì)與描述打下堅(jiān)實(shí)基礎(chǔ)。(2)適用范圍多樣,且易于操作修改。VHDL采用國際通用的編程語言,能夠很好地適用于不同版本的EDA工具,為操作者進(jìn)行使用和修改提供了極大的便利。(3)設(shè)計(jì)描述過程獨(dú)為一體。編程人員能夠在對設(shè)計(jì)最終目標(biāo)以及其他設(shè)計(jì)領(lǐng)域不甚了解的情況下,完成自身的編程操作工序。(4)使用EDA工具可以十分方便地對VHDL語言進(jìn)行進(jìn)一步的優(yōu)化提升,由于EDA工具對其的可識(shí)別性,EDA可以實(shí)現(xiàn)對VHDL的設(shè)計(jì)語言重新進(jìn)行整合、升級(jí),并用門級(jí)網(wǎng)表將其表示出來。

3.2 基于VHDL的設(shè)計(jì)實(shí)例

用一個(gè)簡單的狀態(tài)機(jī)舉例說明

4 結(jié)語

通過使用精簡描述語言VHDL進(jìn)行數(shù)字電子電路設(shè)計(jì),不僅能夠完成各種邏輯復(fù)雜、規(guī)模龐大的數(shù)據(jù)運(yùn)算,更可以有效地將設(shè)計(jì)周期大大縮短,提高整個(gè)系統(tǒng)的可靠性以及集成度。

參考文獻(xiàn)

[1] 于玲.EDA應(yīng)用課程教學(xué)改革分析[J].遼寧工業(yè)大學(xué)學(xué)報(bào)(社會(huì)科學(xué)版),2012,(1):136-138.

[2] 王彩鳳,胡波,李衛(wèi)兵,杜玉杰.EDA技術(shù)在數(shù)字電子技術(shù)實(shí)驗(yàn)中的應(yīng)用[J].實(shí)驗(yàn)科學(xué)與技術(shù),2011,(1):782-783.

[3] 李曉敏,徐濤.EDA技術(shù)在“數(shù)字電子技術(shù)”課程中的應(yīng)用[J].中國科技信息,2011,(9):167-169.

第5篇:數(shù)字電路設(shè)計(jì)范文

近幾年,隨著科技的發(fā)展和網(wǎng)絡(luò)技術(shù)的普及,各種先進(jìn)的電子技術(shù)開始蓬勃發(fā)展,目前,在電子產(chǎn)品設(shè)計(jì)中比較先進(jìn)的技術(shù)是EDA技術(shù),在電子系統(tǒng)設(shè)計(jì)中,它不需要硬件電路的支持就可以直接修改程序中的錯(cuò)誤及系統(tǒng)功能,不僅縮短了研發(fā)周期,而且節(jié)約研發(fā)成本。EDA技術(shù)應(yīng)用于數(shù)字電路綜合實(shí)驗(yàn)設(shè)計(jì)中,可以有效地提高學(xué)生對數(shù)字電路綜合應(yīng)用能力。本文主要探討 EDA技術(shù)在數(shù)字電路綜合實(shí)驗(yàn)設(shè)計(jì)中的應(yīng)用及特點(diǎn)。

【關(guān)鍵詞】EDA 數(shù)字電路 綜合實(shí)驗(yàn)設(shè)計(jì) 應(yīng)用

數(shù)字電路是高等院校理工科電子信息類專業(yè)中一門重要的基礎(chǔ)課,數(shù)字電路的知識(shí)比較復(fù)雜,對于初學(xué)者來說不容易理解,而采用數(shù)字電路實(shí)驗(yàn)有助于學(xué)生理解數(shù)字電路的知識(shí)及相關(guān)理論。在教學(xué)中通過數(shù)字電路表決器、計(jì)數(shù)器等簡單的實(shí)驗(yàn)教程,幫助學(xué)生理解、學(xué)習(xí)數(shù)字電路,掌握各個(gè)單元電路的相關(guān)知識(shí)及理論概念,綜合利用數(shù)字電路的特點(diǎn)應(yīng)用于實(shí)際生活中,提高學(xué)生解決工程實(shí)際問題的能力。

1 EDA技術(shù)特點(diǎn)

1.1 模塊化設(shè)計(jì)

EDA技術(shù)采用現(xiàn)在社會(huì)上最先進(jìn)的設(shè)計(jì)方法,它是一種“自上而下”的全新設(shè)計(jì),屬于模塊化設(shè)計(jì)方法,具有模塊化設(shè)計(jì)方法的優(yōu)勢。

1.2 縮短設(shè)計(jì)周期,降低設(shè)計(jì)費(fèi)用

EDA技術(shù)應(yīng)用于設(shè)計(jì)中,可以單獨(dú)于器件的結(jié)構(gòu)而獨(dú)立存在。所以,在設(shè)計(jì)時(shí),設(shè)計(jì)者不用考慮芯片結(jié)構(gòu)等器件對數(shù)字電路的限制,可以使設(shè)計(jì)者更專心于設(shè)計(jì)。同時(shí)減少設(shè)計(jì)風(fēng)險(xiǎn),降低設(shè)計(jì)所需費(fèi)用,縮短設(shè)計(jì)周期。具有良好的經(jīng)濟(jì)效益與社會(huì)效益。

1.3 實(shí)現(xiàn)電路的移植

EDA技術(shù)應(yīng)用于數(shù)字電路設(shè)計(jì)中,可以實(shí)現(xiàn)簡單的移植工作。它采用硬件描述語言進(jìn)行設(shè)計(jì),這種設(shè)計(jì)方法可以完全獨(dú)立于目標(biāo)器件的結(jié)構(gòu)而存在,簡單、方便,很受設(shè)計(jì)者的歡迎。

2 MAX+Plus II軟件

我們采用MAX+Plus II軟件對數(shù)字電路進(jìn)行分析研究,這種軟件簡單易用,非常適合剛?cè)腴T的學(xué)生學(xué)習(xí)。在利用這個(gè)軟件學(xué)習(xí)數(shù)字電路時(shí),在自身熟悉的設(shè)計(jì)方式基礎(chǔ)上建立一個(gè)新的設(shè)計(jì),這款軟件會(huì)自動(dòng)將設(shè)計(jì)轉(zhuǎn)換成設(shè)計(jì)者所需要的格式。通過該軟件的編譯、仿真、等功能,快速的完成各種不同的數(shù)字電路系統(tǒng)設(shè)計(jì)。另外,此軟件的器件庫和模型庫非常多可以幫助學(xué)生設(shè)計(jì)數(shù)字電路原理圖;另外,它還具有強(qiáng)大的仿真、分析功能。

3 數(shù)字頻率計(jì)綜合實(shí)驗(yàn)系統(tǒng)設(shè)計(jì)

3.1 實(shí)驗(yàn)方案設(shè)計(jì)

在本實(shí)驗(yàn)中要求學(xué)生采用數(shù)字電路中相關(guān)器件,設(shè)計(jì)一個(gè)數(shù)字頻率計(jì)來測量數(shù)字電路的信號(hào)頻率,然后將所測量的信號(hào)頻率結(jié)果顯示在數(shù)字電路的數(shù)碼管上。在進(jìn)行實(shí)驗(yàn)之前,將實(shí)驗(yàn)系統(tǒng)劃分為不同的模塊進(jìn)行分析數(shù)字電路工作過程,比如測頻控制電路、脈沖發(fā)生電路、計(jì)數(shù)電路、鎖存電路、信號(hào)整形電路、動(dòng)態(tài)掃描等。通過各個(gè)模塊之間的相互協(xié)調(diào)來完成數(shù)字電路之間的信息的傳遞與顯示。

3.2 試驗(yàn)系統(tǒng)模塊設(shè)計(jì)

3.2.1 脈沖發(fā)生電路模塊

脈沖發(fā)生電路由一片14 bit二進(jìn)制串行計(jì)數(shù)或者是CD4060分頻器再加上一些阻容元件構(gòu)成。它主要是用來產(chǎn)生系統(tǒng)所需要的頻率信號(hào),比如:動(dòng)態(tài)掃描電路的1 kHz掃描頻率信號(hào)和測頻控制電路所需的頻率為2 Hz的時(shí)基信號(hào)。

3.2.2 信號(hào)整形電路分析

在信號(hào)整形電路中,測信號(hào)的波形有三種波,外形像長方形的矩形波、類似于三角形的三角波和正弦波,在進(jìn)行信號(hào)計(jì)數(shù)之前,首先要變換波形,在設(shè)計(jì)時(shí)將不同的波形信號(hào)變換成相同的信號(hào)波形進(jìn)行測量。對信號(hào)進(jìn)行整形時(shí)需要利用芯片機(jī)來測量,然后將得到的脈沖信號(hào)作為計(jì)數(shù)電路的輸入時(shí)鐘信號(hào)。

3.2.3 測頻控制電路分析

數(shù)字電路的頻率測量方法一般使用計(jì)數(shù)法,測頻控制電路需要產(chǎn)生脈寬為1s的脈沖信號(hào),來控制技術(shù)電路的技術(shù)使能。技數(shù)結(jié)束后,將結(jié)果鎖存到寄存器中,使數(shù)據(jù)顯示更加穩(wěn)定。鎖存好技術(shù)結(jié)果后,還需要一個(gè)清0信號(hào),以便清除計(jì)數(shù)電路中上一次的技數(shù)結(jié)果。

3.2.4 計(jì)數(shù)電路模塊

技術(shù)電路模塊是用來計(jì)數(shù)待測信號(hào)的,一般由8個(gè)一位10進(jìn)制加法計(jì)數(shù)器一同步級(jí)聯(lián)的方式構(gòu)成。技術(shù)過程受測頻控制電路輸出信號(hào)的控制。技術(shù)結(jié)果鎖存后,利用清零信號(hào)將電路中的8個(gè)計(jì)數(shù)器統(tǒng)一清零,為下一次的技術(shù)做準(zhǔn)備。

3.2.5 鎖存電路模塊

鎖存電路,顧名思義就是用來鎖存計(jì)數(shù)電路的計(jì)數(shù)結(jié)果,由4個(gè)異步清零信號(hào)的觸發(fā)器組成。電路中每次計(jì)數(shù)結(jié)束時(shí),測頻控制電路輸出信號(hào)就會(huì)產(chǎn)生一個(gè)上升沿,將技術(shù)結(jié)果鎖入寄存器。

3.2.6 動(dòng)態(tài)掃描顯示電路模塊

該模塊的整個(gè)電路由1個(gè)用74160、1個(gè)3-8譯碼器和非門構(gòu)成的8進(jìn)制計(jì)數(shù)器、4個(gè)8選1數(shù)據(jù)選擇器和1個(gè)顯示譯碼器7448組成。8進(jìn)制計(jì)數(shù)器在數(shù)字電路中主要用于產(chǎn)生3―8的譯碼器選和等,4 bit送到顯示譯碼器進(jìn)行譯碼時(shí)利用4個(gè)8選1數(shù)據(jù)選擇器輸入32位數(shù)據(jù)進(jìn)行選擇。

4 結(jié)語

這種基于EDA技術(shù)的數(shù)字電路綜合設(shè)計(jì)實(shí)驗(yàn)?zāi)J绞乾F(xiàn)代數(shù)字電路設(shè)計(jì)中重要的組成方式,數(shù)字電路中很多單元電路與設(shè)計(jì)方法都很好的應(yīng)用在實(shí)驗(yàn)設(shè)計(jì)中,幫助學(xué)生了解、掌握電路設(shè)計(jì)方法和技能。提高學(xué)生的動(dòng)手能力與解決實(shí)際問題的能力。

參考文獻(xiàn)

[1]蔡春曉,張國慶.EDA教學(xué)在數(shù)字電路實(shí)驗(yàn)中的實(shí)踐與探索[J].高教論壇,2010(11):39-40.

[2]杜世民,楊相生,楊潤萍,殷金曙.基于EDA技術(shù)的數(shù)字電路綜合實(shí)驗(yàn)研究[J].實(shí)驗(yàn)技術(shù)與管理,2012,29(10):93-96.

[3]黃勤易.利用EDA技術(shù)進(jìn)行數(shù)字電路設(shè)計(jì)性實(shí)驗(yàn)的研究[J].半導(dǎo)體技術(shù),2006,31(1):20-22.

[4]張永生.EDA技術(shù)在數(shù)字電路中的應(yīng)用研究[J].科技傳播,2012(4):142-143.

作者簡介

陸元婷(1981-),女,貴州省遵義市人。碩士學(xué)位?,F(xiàn)為遵義醫(yī)學(xué)院醫(yī)學(xué)信息工程系講師。主要研究方向?yàn)檐浖こ獭?/p>

第6篇:數(shù)字電路設(shè)計(jì)范文

隨著電子技術(shù)的不斷發(fā)展,高速數(shù)字電路在發(fā)展獲得了極大的機(jī)遇。加上近年來,我國在高科技領(lǐng)域快速發(fā)展,加速了高速數(shù)字電路的發(fā)展。通過高速數(shù)字電路的快速發(fā)展,建立一個(gè)高速的數(shù)據(jù)系統(tǒng),確保數(shù)據(jù)的正確傳輸,當(dāng)前高速數(shù)字電路中的信號(hào)完整性設(shè)計(jì)已經(jīng)成為了一個(gè)熱點(diǎn)性問題。本文主要針對高速數(shù)字電路信號(hào)完整性的相關(guān)概念進(jìn)行論述,并且給出了相應(yīng)的設(shè)計(jì)方案討論。

【關(guān)鍵詞】高速數(shù)字電路 信號(hào)完整性 設(shè)計(jì)

面對當(dāng)前高速的網(wǎng)絡(luò)通信發(fā)展,對于視頻信號(hào)的處理、傳輸?shù)男枨笠灿兴岣?,這為高速數(shù)字電路信號(hào)的設(shè)計(jì)得到了極大的發(fā)展機(jī)遇。電路板的設(shè)計(jì)從以前的放線、布線逐漸成為了一門專業(yè)學(xué)科,而當(dāng)前的高速數(shù)字電路設(shè)計(jì)中逐漸從原來的單一信號(hào)轉(zhuǎn)變?yōu)槟壳暗男盘?hào)完整性設(shè)計(jì)。這一結(jié)構(gòu)的發(fā)展,使得信號(hào)完整性、電源完整性以及電磁兼容完整性三者之間在相互協(xié)同工作,并且獲得的效果很好。同時(shí)實(shí)現(xiàn)這三者之間的協(xié)同發(fā)展,也是目前急需解決的關(guān)鍵性問題。

1 信號(hào)完整性的相關(guān)研究介紹

所謂的信號(hào)完整性即Signal Integrity,主要是指信號(hào)沿著傳輸線的一端傳輸?shù)搅硗庖欢瞬⒊晒邮罩?,然后對其完整的波形進(jìn)行觀測,通過比較信號(hào)的輸入和輸出的電壓和時(shí)序的響應(yīng)能力。電路中的信號(hào)以某種既定的時(shí)序、時(shí)間以及電壓等達(dá)成集成,那么則表示該信號(hào)處于完整性。與之相反,表示該信號(hào)的完整性遭到了破壞。對信號(hào)完整性的研究中主要針對信號(hào)的波形、電壓、相互連線的作用和電氣特性參數(shù)性能影響等內(nèi)容。那么在實(shí)際的研究過程中對于信號(hào)的完整性進(jìn)行分析信號(hào)的單一網(wǎng)絡(luò)質(zhì)量、多網(wǎng)絡(luò)間串?dāng)_、電源和地分配的軌道塌陷以及系統(tǒng)的電磁輻射和電磁干擾等。高速數(shù)字電路信號(hào)在進(jìn)行完整性設(shè)計(jì)的時(shí)候,由于科學(xué)界沒有解決好從直流到毫米微波的超寬頻快速變化信號(hào),而且也有縱橫交錯(cuò)的超細(xì)微互相連接封裝的結(jié)構(gòu),形成了復(fù)雜的電磁場。

2 高速數(shù)字系統(tǒng)相關(guān)介紹

隨著計(jì)算機(jī)技術(shù)的不斷發(fā)展,計(jì)算機(jī)性能得到了極大的飛躍,尤其是在處理芯片的發(fā)展上,主要根據(jù)衡量芯片的時(shí)鐘頻率作為指標(biāo)來衡量其性能。由于光刻法會(huì)造成時(shí)鐘的頻率出現(xiàn)越來越高的趨勢,同時(shí)也會(huì)產(chǎn)生尺寸較小的晶體管門溝道,這會(huì)給信號(hào)的完整性帶來非常嚴(yán)重的影響。隨著時(shí)鐘頻率不斷提高,需要更長的讀取數(shù)據(jù)線或者時(shí)鐘線,并且還需要足夠的時(shí)間來讀取處于高低電平狀態(tài)的信號(hào)。在此過程中需要很短的時(shí)間進(jìn)行信號(hào)轉(zhuǎn)換,但是隨著信號(hào)不斷上升而減小,信號(hào)的完整性就更難解決。我們在對時(shí)鐘的頻率范圍進(jìn)行限定,以此來確定高速數(shù)字,衡量一個(gè)數(shù)字電路是否屬于高速,則需要根據(jù)數(shù)字信號(hào)的上升和下降的時(shí)間來進(jìn)行確定。轉(zhuǎn)折頻率與數(shù)字上升的時(shí)間存在著關(guān)聯(lián),但是與時(shí)鐘頻率卻無關(guān)。

Fknee=0.35(或0.5)/Tr,其中Fknee表示的是轉(zhuǎn)折頻率,數(shù)字的脈沖能量大部分集中在此頻率之下;Tr表示的是脈沖上升時(shí)間。任何的數(shù)字信號(hào)的時(shí)域特性均是由Fknee頻率下的信號(hào)所決定。Fknee可以通過將時(shí)間與頻率聯(lián)系起來作為數(shù)字信號(hào)含頻率的實(shí)際邊界。

3 基于信號(hào)完整性的高速數(shù)字系統(tǒng)設(shè)計(jì)方案

3.1 新產(chǎn)品的設(shè)計(jì)方案

新產(chǎn)品在設(shè)計(jì)的時(shí)候如果忽略了信號(hào)的完整性,那么勢必會(huì)造成開發(fā)的進(jìn)度推后,同時(shí)也會(huì)造成開發(fā)費(fèi)用升高,而且可能導(dǎo)致產(chǎn)品無法制造出來。從傳統(tǒng)的制造來看,一個(gè)新的產(chǎn)品設(shè)計(jì)方案通常缺少了實(shí)時(shí)、有效、定量的分析和評(píng)估,那么只有通過設(shè)計(jì)經(jīng)驗(yàn)來進(jìn)行項(xiàng)目產(chǎn)品評(píng)估。因此我們在設(shè)計(jì)新方案的時(shí)候要消除信號(hào)完整性的問題,并且在產(chǎn)品設(shè)計(jì)周期中要盡量的消除此問題。

3.2 信號(hào)完整性分析模型以及工具介紹

對于高速數(shù)字電路信號(hào)完整性的設(shè)計(jì)主要有建模、仿真以及特征參數(shù)化幾個(gè)方面。其中建模選擇有源器件的模型和無源器件模型,通過此兩種不同的模型完成高速數(shù)字電路信號(hào)完整性設(shè)計(jì),值得注意的是:有源器件模型通常與SPICE模型兼容,也會(huì)與輸出和輸入緩沖接口兼容。對于高速數(shù)字電路中的信號(hào)完整性設(shè)計(jì)中仿真工具的使用,常會(huì)有電路仿真器、行為仿真器、電磁仿真器等等幾類。其中電路仿真器在時(shí)域頻域中實(shí)現(xiàn)對電路元件對應(yīng)差分方程進(jìn)行求解,以此來對各個(gè)電路節(jié)點(diǎn)完成電壓和電流的預(yù)測。通過電路仿真器可以表示出各個(gè)導(dǎo)體與電介質(zhì)之間轉(zhuǎn)化而成的電容、電阻以及電感之間的耦合關(guān)系。行為仿真器往往是在時(shí)域內(nèi)采用表格、傳輸線等模型來表示傳遞函數(shù)的無源元件模型,根據(jù)傳遞函數(shù)預(yù)測出各個(gè)節(jié)點(diǎn)之間的電流和電壓。電磁仿真器則主要是時(shí)域或者頻域范圍中實(shí)現(xiàn)方程求解并且仿真出各個(gè)位置的磁場和電場。

4 結(jié)語

伴隨著電子通信技術(shù)的快速發(fā)展,高速數(shù)字系統(tǒng)在設(shè)計(jì)上與以往有著很大的不同,其主要的不同點(diǎn)表現(xiàn)在集成的規(guī)模變得越來越大,而且I/O數(shù)量越來越多,單板的互連密度逐漸加大。隨著時(shí)鐘頻率不斷變高,信號(hào)的邊緣速率變得越來越快,致使系統(tǒng)與單板信號(hào)的完整性受到影響。從市場的研發(fā)以及產(chǎn)品在市場上的推廣來看,一次性的設(shè)計(jì)成功就顯得非常重要,那么從根本上解決信號(hào)的完整性問題將對于產(chǎn)品的影響非常大。

參考文獻(xiàn)

[1]李小榮.高速數(shù)?;旌想娐沸盘?hào)完整性分析與PCB設(shè)計(jì)[D].杭州電子科技大學(xué),2010.

[2]桂亮.信號(hào)完整性研究及其在網(wǎng)絡(luò)視頻監(jiān)控終端中的應(yīng)用[D].浙江工業(yè)大學(xué),2012.

[3]郭褚冰.基于企業(yè)級(jí)路由器硬件系統(tǒng)的高速信號(hào)完整性分析與設(shè)計(jì)[D].重慶大學(xué),2013.

[4]孟垂建.基于信號(hào)完整性的PCB仿真設(shè)計(jì)與分析研究[D].哈爾濱工程大學(xué),2013.

第7篇:數(shù)字電路設(shè)計(jì)范文

關(guān)鍵詞:數(shù)字頻率計(jì) EDA VHDL 波形仿真

中圖分類號(hào):TN79 文獻(xiàn)標(biāo)識(shí)碼:A 文章編號(hào):1007-9416(2013)11-0135-03

1 引言

傳統(tǒng)的設(shè)計(jì)方法是基于中小規(guī)模集成電路器件進(jìn)行設(shè)計(jì)(如74系列及其改進(jìn)系列、CC4000系列、74HC系列等都屬于通用型數(shù)字集成電路),而且是采用自底向上進(jìn)行設(shè)計(jì)?,F(xiàn)代電子設(shè)計(jì)技術(shù)的核心的發(fā)展方向是基于計(jì)算機(jī)的電子設(shè)計(jì)自動(dòng)化技術(shù),即EDA(Electronic Design Automation)技術(shù)[1]。EDA技術(shù)減輕了設(shè)計(jì)人員的工作強(qiáng)度,提高了工作效率,縮短了產(chǎn)品的研發(fā)周期,是電子設(shè)計(jì)技術(shù)的一個(gè)巨大進(jìn)步。超高速集成電路硬件描述語言(Very-High-Speed Integrated Circuit Hardware Description Language, VHDL)語言是EDA設(shè)計(jì)中一種重要的仿真語言,具有多層次描述系統(tǒng)硬件功能的能力,支持自頂向下和基于庫的設(shè)計(jì)的特點(diǎn),被廣泛的應(yīng)用于CPLD/FPGA的設(shè)計(jì)中。

在電子技術(shù)中,頻率是最基本的參數(shù)之一,并且與許多電參量的測量方案、測量結(jié)果都有十分密切的關(guān)系[2,3]。數(shù)字頻率計(jì)是一種基本的測量頻率的電子儀器,廣泛應(yīng)用于航天、電子、測控等領(lǐng)域。采用常規(guī)數(shù)字電路設(shè)計(jì)數(shù)字頻率計(jì),所用的器件較多、連線比較復(fù)雜,而且存在延時(shí)較大、測量誤差較大、可靠性低等缺點(diǎn)。本文研究了基于EDA的數(shù)字頻率計(jì)電路的設(shè)計(jì)方法,介紹了數(shù)字頻率計(jì)的相應(yīng)模塊,并開展了相應(yīng)的仿真實(shí)驗(yàn)。

2 數(shù)字頻率計(jì)的設(shè)計(jì)原理

2.1 VHDL語言與軟件仿真平臺(tái)

VHDL出現(xiàn)于1982年,是一種針對于電路設(shè)計(jì)的高級(jí)語言。VHDL語言用于描述硬件電路,已經(jīng)成了一種通用的硬件設(shè)計(jì)交換媒介[4]。該語言相比于其他語言具有對硬件的描述能力強(qiáng)、覆蓋面廣、語言精煉簡潔、可讀性強(qiáng)等特點(diǎn),并且,VHDL支持支持模塊化設(shè)計(jì),縮短了開發(fā)的周期。

MAX+PLUSⅡ可編程邏輯開發(fā)軟件是Altera公司推出的第三代PLD 開發(fā)系統(tǒng),該軟件提供了全面的邏輯設(shè)計(jì)能力,包括電路圖、文本和波形的設(shè)計(jì)輸入以及編譯、邏輯綜合、仿真和定時(shí)分析以及器件編程等諸多功能。具有包括開放式的界面、與結(jié)構(gòu)無關(guān)、多平臺(tái)、完全集成化、豐富的設(shè)計(jì)庫在內(nèi)的突出優(yōu)點(diǎn)。其系統(tǒng)界面如圖1所示。

2.2 設(shè)計(jì)基本原理

數(shù)字頻率計(jì)的基本設(shè)計(jì)原理是選取一個(gè)頻率穩(wěn)定度較高的頻率源作為基準(zhǔn)頻率,對比測量其他信號(hào)的頻率,計(jì)算每秒內(nèi)待測信號(hào)的脈沖個(gè)數(shù)。我們從MAX+PLUSⅡ?qū)嶒?yàn)臺(tái)輸入兩個(gè)不同的時(shí)鐘頻率,其中一個(gè)作為基準(zhǔn)頻率(這里選取的是1HZ的CLK信號(hào)),另一個(gè)作為待測頻率,經(jīng)過相應(yīng)的分頻或倍頻后,通過頻率計(jì)計(jì)算出待測頻率的頻率值。由于本次設(shè)計(jì)的數(shù)字頻率計(jì)采用1HZ的時(shí)鐘頻率作為基準(zhǔn)頻率,因此,我們需要計(jì)算1秒鐘時(shí)基內(nèi)待測信號(hào)整形后脈沖的個(gè)數(shù),相應(yīng)的計(jì)算結(jié)果即為當(dāng)前頻率值,并用十進(jìn)制數(shù)碼管顯示最終結(jié)果[5,6]。

本頻率計(jì)是8位十進(jìn)制數(shù)字頻率計(jì),由四個(gè)模塊構(gòu)成:控制模塊,有時(shí)鐘使能的十進(jìn)制計(jì)數(shù)器模塊,鎖存器模塊和譯碼顯示模塊。數(shù)字頻率計(jì)系統(tǒng)組成方框圖如圖2所示。

在上圖中出現(xiàn)了三個(gè)重要的控制信號(hào),分別是計(jì)數(shù)控制信號(hào)、鎖存信號(hào)和清零信號(hào)。其中,計(jì)數(shù)控制信號(hào)是長度為1秒的高電平脈沖周期信號(hào),可以對頻率計(jì)的每一個(gè)計(jì)數(shù)器的使能端進(jìn)行同步控制。實(shí)驗(yàn)中,當(dāng)計(jì)數(shù)控制信號(hào)為高電平時(shí)開始計(jì)數(shù);低電平時(shí)停止計(jì)數(shù),并保持所計(jì)的數(shù);鎖存信號(hào)的上升沿到來時(shí),將計(jì)數(shù)器在前一秒鐘的計(jì)數(shù)值鎖存在鎖存器中,并控制顯示模塊顯示當(dāng)前數(shù);鎖存計(jì)數(shù)值后,由清零信號(hào)清除計(jì)數(shù)模塊中的值。

3 模塊實(shí)現(xiàn)

根據(jù)上述描述,數(shù)字頻率計(jì)包含了控制模塊,計(jì)數(shù)器模塊,鎖存器模塊和譯碼顯示共四個(gè)模塊。下面我們將分別介紹這四個(gè)模塊,并且給出其中最核心模塊控制模塊的設(shè)計(jì)程序。

3.1 控制模塊

控制模塊是本頻率計(jì)設(shè)計(jì)的核心模塊,既要求對頻率計(jì)的每一個(gè)計(jì)數(shù)器的使能端進(jìn)行同步控制,又要求能產(chǎn)生鎖存信號(hào)將計(jì)數(shù)值記錄到鎖存器中。由此,利用VHDL語言設(shè)計(jì)該控制模塊如下,仿真波形如圖3所示。

3.2 其他模塊

計(jì)數(shù)模塊是對被測頻率信號(hào)進(jìn)行頻率計(jì)數(shù)測量的模塊,由8個(gè)一位十進(jìn)制計(jì)數(shù)器級(jí)聯(lián)組成,當(dāng)時(shí)鐘使能輸入端為高電平時(shí),進(jìn)行計(jì)數(shù),反之,鎖定計(jì)數(shù)值。

我們可以先通過VHDL語言編寫1個(gè)一位十進(jìn)制計(jì)數(shù)器,再將其原件化后搭建成八位十進(jìn)制計(jì)數(shù)模塊。鎖存模塊由鎖存器構(gòu)成,當(dāng)鎖存信號(hào)的上升沿到達(dá)后信號(hào)被鎖存到寄存器的內(nèi)部,并由鎖存器的輸出端輸出。譯碼顯示模塊對四位BCD碼進(jìn)行鎖存,并轉(zhuǎn)化為相應(yīng)的三組七段碼,用于驅(qū)動(dòng)數(shù)碼管,譯成能在數(shù)碼管上顯示的相對應(yīng)的數(shù)值。

根據(jù)本節(jié)所描述的各模塊的基本原理,我們分別用VHDL語言進(jìn)行編程實(shí)現(xiàn),下一節(jié)將給出數(shù)字頻率計(jì)的仿真結(jié)果。

4 仿真結(jié)果

在MAX+plus II的原理圖編輯窗口的空白處雙擊,將彈出“Symbol”窗口,點(diǎn)擊左側(cè)的元件庫欄中的Project項(xiàng),選擇剛才第3部分中生成的各個(gè)功能模塊,再點(diǎn)擊下方的OK,即可將此元件調(diào)入原理圖編輯窗口中,并根據(jù)各模塊功能和頻率計(jì)的功能進(jìn)行連接并生成頂層電路文件,如圖4所示。

數(shù)字頻率計(jì)的最終仿真波形如圖5所示。實(shí)驗(yàn)驗(yàn)證了上述設(shè)計(jì)的正確性。

5 結(jié)語

本文基于EDA技術(shù)設(shè)計(jì)了數(shù)字頻率計(jì),描述了各模塊的基本原理,用VHDL語言編程實(shí)現(xiàn),并在MAX+PLUSⅡ軟件上進(jìn)行設(shè)計(jì)仿真,給出了最終的仿真實(shí)驗(yàn)結(jié)果。需要指出的是,用戶可以在基本電路模塊的基礎(chǔ)上,修改VHDL源程序達(dá)到增加新功能的目標(biāo),例如由目前的8位增加到16位,改變基礎(chǔ)頻率的輸入等,而不必更改硬件電路。

參考文獻(xiàn)

[1]李曉輝.數(shù)字電路與邏輯設(shè)計(jì)[M].國防工業(yè)出版社,2012年.

[2]郭小東.基于VHDL語言的數(shù)字頻率計(jì)電路的設(shè)計(jì)[J].信息與電腦(理論版),2009,7:064.

[3]潘明.基于復(fù)雜可編程邏輯器件的數(shù)字頻率計(jì)設(shè)計(jì)[J].廣西科學(xué)院學(xué)報(bào),2002,18(4):244-251.

[4]齊京禮,宋毅芳,陳建泗.VHDL語言在FPGA中的應(yīng)用[J].微計(jì)算機(jī)信息,2006,22(12): 149-151.

第8篇:數(shù)字電路設(shè)計(jì)范文

關(guān)鍵詞:PC機(jī);C語言;單片機(jī);硬件;集成塊;數(shù)字集成電路測試儀

中圖分類號(hào):TN431文獻(xiàn)標(biāo)識(shí)碼:A文章編號(hào):1009-2374(2009)20-0035-02

在數(shù)字電路實(shí)驗(yàn)室,集成塊是常見的,由于它的體積較小,性能的好壞很難判斷。因此,這里提出運(yùn)用了單片機(jī)原理、C語言、通信原理、低頻電路、數(shù)字電路等基本知識(shí),設(shè)計(jì)了一臺(tái)基于PC機(jī)的數(shù)字集成電路通用測試儀。這里主要探討硬件電路構(gòu)思與設(shè)計(jì)。

該測試儀主要是運(yùn)用單片機(jī)的接口與顯示程序和C語言的串行通訊程序來測試14管腳、16管腳的74Ls系列的集成塊好壞。主要用到單片機(jī)CPU集成塊89C51、驅(qū)動(dòng)器集成塊164、通信集成塊232。該測試儀運(yùn)用發(fā)光二極管實(shí)測燈與標(biāo)準(zhǔn)燈的發(fā)光情況相比較,來判斷其好壞。該方法簡單方便,是實(shí)驗(yàn)室不可缺少的工具之一。

一、想法的來源

一塊小小的集成塊,如何才能判斷它的好壞呢?當(dāng)然,有一些集成塊在工作時(shí)是可以用萬用表測量其管腳電壓來判斷它的好壞,但是比較麻煩。

“數(shù)字集成電路通用測試儀”,目的是能夠簡單而且方便地測試集成塊的好壞。它主要是運(yùn)用單片機(jī)的匯編語言和C語言來編程,還要用到通信原理、數(shù)字電路等知識(shí)。

該測試儀可以單拍測試,也可以連續(xù)測試,通過串行通訊送過來的數(shù)據(jù),用發(fā)光二極管的發(fā)光情況來判斷。用實(shí)測燈(綠色二極管)與標(biāo)準(zhǔn)燈(紅色二極管)的亮暗來比較,如果兩者發(fā)光情況一致,則表示通過,說明集成塊是好的;如果不一致,則表示通不過,說明有管腳壞了。

有了這種測試儀,我們可以很方便地判斷集成塊的好壞,減少了實(shí)驗(yàn)室人員的工作量,具有很強(qiáng)的實(shí)用性。

二、總體設(shè)計(jì)

(一)技術(shù)指標(biāo)

1.測試管腳數(shù)≤16PIN;

2.測試速度

3.測試品種可任意更換。

(二)技術(shù)要求

1.能對各種數(shù)字集成電路進(jìn)行功能測試。

2.可連續(xù)測試,連續(xù)測試時(shí),每按一次按鈕,可全部測完,發(fā)光二極管上給出合格(失敗)判斷,并將測試結(jié)果在PC機(jī)上顯示。

3.也可單拍測試,單拍測試時(shí),每按一次按鈕,進(jìn)行一個(gè)節(jié)拍的測試并在顯示器顯示節(jié)拍號(hào)。

4.通過鍵盤操作,可將盤上的品種程序調(diào)入測試儀,測試結(jié)果通過串口回送PC機(jī),PC機(jī)在屏幕上能顯示合格管腳圖形及實(shí)測管腳圖形。

(三)硬件設(shè)計(jì)

對于生活在現(xiàn)代科技發(fā)達(dá)的社會(huì)技術(shù)人員來說,軟件已經(jīng)成為一種時(shí)尚,有了軟件,提高了現(xiàn)代人生存的速度,但是,有些軟件的應(yīng)用必須在硬件的基礎(chǔ)上才能夠使用。對硬件電路的設(shè)計(jì)不但要熟練掌握低頻電路原理、高頻電路原理、數(shù)字電路原理、還得熟練掌握電子設(shè)計(jì)自動(dòng)化(EDA)的技術(shù)。

(四)軟件設(shè)計(jì)

軟件設(shè)計(jì)和硬件設(shè)計(jì)必須結(jié)合進(jìn)行。在本次課題設(shè)計(jì)中,主要是運(yùn)用LCAW軟件和C語言進(jìn)行編程,用PROTEL軟件畫原理圖。

基于PC機(jī)的數(shù)字集成電路通用測試儀設(shè)計(jì)時(shí)所用到的元件比較多,設(shè)計(jì)時(shí)必須根據(jù)原理圖仔細(xì)安裝,熟練掌握有關(guān)軟件的使用,并且特別要注意軟、硬件的結(jié)合使用。

三、硬件電路的設(shè)計(jì)

如一般的計(jì)算機(jī)系統(tǒng)一樣,單片機(jī)的應(yīng)用系統(tǒng)由硬件和軟件所組成。硬件由單片機(jī)、擴(kuò)展的存儲(chǔ)器、輸入/輸出設(shè)備等硬部件組成的機(jī)器,軟件是各種工作程序的總稱。硬件和軟件只有緊密結(jié)合、協(xié)調(diào)一致,才能組成高性能的單片機(jī)應(yīng)用系統(tǒng)。在系統(tǒng)的研制過程中,軟硬件的功能總是不斷地調(diào)整,以便于相互適應(yīng)。硬件設(shè)計(jì)的任務(wù)是根據(jù)總體設(shè)計(jì)要求,在所選擇的機(jī)型的基礎(chǔ)上,具體確定系統(tǒng)中所要使用的元器件,設(shè)計(jì)出系統(tǒng)的電路原理圖,必要時(shí)做一些部件實(shí)驗(yàn),以驗(yàn)證電路圖的正確性,以及工藝加工的設(shè)計(jì)加工、印制板的制作、樣機(jī)的組裝。

(一)硬件設(shè)計(jì)要點(diǎn)

一個(gè)設(shè)計(jì)確定后,經(jīng)過詳細(xì)調(diào)研,可能產(chǎn)生多種設(shè)計(jì)方案,在眾多的設(shè)計(jì)方案中怎樣選擇?為使硬件設(shè)計(jì)盡可能合理,應(yīng)重點(diǎn)考慮以下幾點(diǎn):

1.盡可能選擇功能強(qiáng)的芯片,以簡化電路。

2.留有余地。在設(shè)計(jì)硬件電路時(shí),要考慮到將來修改、擴(kuò)展的方便。ROM空間、RAM空間、I/O端口,在樣機(jī)研制出來后進(jìn)行現(xiàn)場試用時(shí),往往會(huì)發(fā)現(xiàn)一些被忽略的問題,而這些問題是不能單靠軟件措施來解決的。如有些新的信號(hào)需要采集,就必須增加輸入檢測端,有些物理量需要控制,就必須增加輸出端。如果在硬件設(shè)計(jì)之初就多設(shè)計(jì)出一些I/O端口,這個(gè)問題就會(huì)迎刃而解;A/D和D/A通道和I/O端口同樣的原因留出一些A/D和D/A通道,將來可能會(huì)解決大問題。

3.以軟代硬。單片機(jī)和數(shù)字電路本質(zhì)的區(qū)別就是它具有軟件系統(tǒng)。很多硬件電路能做到的,軟件也能做到。原則上,只要軟件能做到的就不用硬件。硬件多了不但增加成本,而且系統(tǒng)故障率也提高了。以軟代硬的實(shí)質(zhì)是以時(shí)間代空間,軟件執(zhí)行過程需要消耗時(shí)間,因此,這種代替帶來的不足就是實(shí)時(shí)性下降,在實(shí)時(shí)性不高的場合,以軟代硬是很合算的。

4.工藝設(shè)計(jì)。包括機(jī)箱、面板、配線、接插件等。必須考慮到安裝、調(diào)試、維修的方便。另外,硬件抗干擾措施也必須在硬件設(shè)計(jì)時(shí)一并考慮進(jìn)去。

(二)所用芯片介紹

硬件設(shè)計(jì)的步驟中的第一步就是查找可能涉及的芯片的資料。這是一步非常重要的步驟。它是硬件電路設(shè)計(jì)正確性和可靠性的基礎(chǔ)。

1.89C51芯片的簡介。AT89C51是一種低功耗、高性能內(nèi)含4K字節(jié)閃電存儲(chǔ)(Flash memory)的8位CMOS微控制器。片內(nèi)閃電存儲(chǔ)器的程序代碼或數(shù)據(jù)可在線寫入,亦可通過常規(guī)的編程器編程。AT89C51芯片內(nèi)部具有下列硬件資源:4K字節(jié)閃電存儲(chǔ)器,128字節(jié)RAM ,32條I/O線,兩個(gè)16位定時(shí)/計(jì)數(shù)器,五源兩級(jí)中斷結(jié)構(gòu),全雙工串行口,片內(nèi)震蕩器及時(shí)鐘電路等。AT89C51片內(nèi)含三個(gè)封鎖位,若封鎖位LB1已被編程,則EA引腳上的邏輯電平在芯片復(fù)位時(shí)被采樣并鎖存。但如果該器件上電時(shí)無復(fù)位,那么相應(yīng)鎖存器便被初始化為隨機(jī)值,此值將保持到復(fù)位時(shí)止。片內(nèi)閃電存儲(chǔ)器的編程,AT89C51片內(nèi)存儲(chǔ)器售后通常處于擦除狀態(tài),即每一地址單元內(nèi)容均為FFH,人們隨時(shí)可對其編程,編程電壓有高壓12V的,也有低壓5V的低壓編程方式為在用戶系統(tǒng)內(nèi)對AT89C51進(jìn)行編程提供了方便;而高壓編程方式則與常規(guī)的閃電存儲(chǔ)器或EPROM編程器相兼容。

2.RS-232芯片的簡介。RS-232是美國電氣工業(yè)協(xié)會(huì)推廣使用的一種串行通信總線標(biāo)準(zhǔn),是DCE(數(shù)據(jù)通信設(shè)備,如微機(jī))和DTE(數(shù)據(jù)終端設(shè)備,如CRT)間傳輸串行數(shù)據(jù)的總線。TC232內(nèi)部有兩個(gè)發(fā)送器和兩個(gè)接受器,還有一個(gè)電源變換器,是一種廉價(jià)RS232電平轉(zhuǎn)換器, RS232C雖共有25根信號(hào)線,但在近程通信不需要調(diào)制解調(diào)器的情況下,一般只用少量信號(hào)線。若采用直接通信,則通常只用TXD和RXD及地信號(hào)線。

3.164芯片的簡介。方式0是外接移位寄存器的工作方式,用以擴(kuò)展I/O接口。輸出時(shí)將發(fā)送數(shù)據(jù)緩沖器中的內(nèi)容串行地址到外部的移位寄存器,輸入時(shí)將外部移位寄存器內(nèi)容移入內(nèi)部的移位寄存器,然后寫入內(nèi)部的接受數(shù)據(jù)緩沖器。在以方式0工作時(shí),數(shù)據(jù)由RXD串行地輸入/輸出,TXD輸出移位脈沖,使外部的移位寄存器移位。方式0輸出時(shí),串行口上外接74LS164串行輸入并行輸出移位寄存器的接口。TXD端輸出的移位脈沖將RXD端輸出的數(shù)據(jù)移入74LS164。CPU發(fā)送數(shù)據(jù)緩沖器SPUF寫入一個(gè)數(shù)據(jù),就啟動(dòng)串行口發(fā)送,對SBUF的寫信號(hào)在S6P2時(shí)把1寫入輸出移位寄存器的第9位,并使發(fā)送控制電路開始發(fā)送。內(nèi)部的定時(shí)邏輯在對SBUF寫和SEND被激活(高電平)之間有一個(gè)完整的機(jī)器周期。在SEND有效時(shí),輸出移位寄存器中輸出位內(nèi)容送RXD端輸出,移位脈沖由TXD端輸出,它使RXD端的輸出數(shù)據(jù)移入到外部的移位寄存器。

(三)硬件電路的設(shè)計(jì)

硬件電路的設(shè)計(jì)如下圖所示:

參考文獻(xiàn)

[1]張友德,趙志英,涂時(shí)亮.單片微型機(jī)原理/應(yīng)用與實(shí)驗(yàn)[M].上海:復(fù)旦大學(xué)出版社,1996.

[2]周仲.國內(nèi)外常用集成電路互換手冊[M].上海:上??茖W(xué)技術(shù)文獻(xiàn)出版社,2001.

第9篇:數(shù)字電路設(shè)計(jì)范文

【關(guān)鍵詞】測頻;頻率計(jì);電路設(shè)計(jì)

1.相關(guān)理論概述

數(shù)字頻率計(jì)采用數(shù)字電路制作成以十進(jìn)制碼來現(xiàn)實(shí)被測信號(hào)頻率,對于周期性變化的信號(hào)頻率能夠?qū)崿F(xiàn)有效的測量的一種儀器。它是教學(xué)、科研等工作中的基礎(chǔ)測量儀器,在模擬電路和數(shù)字電路實(shí)驗(yàn)中有著重要的作用,其能夠直接讀出信號(hào)源所產(chǎn)生的不同頻率范圍的信號(hào)將會(huì)對實(shí)驗(yàn)產(chǎn)生很大的影響。頻率計(jì)主要用在正弦波、矩形波等周期性信號(hào)頻率值的測量等,它的拓展功能能夠?qū)崿F(xiàn)對信號(hào)周期及其脈沖寬度的測量,引起對信號(hào)源的接受敏捷度使得其稱為試驗(yàn)箱中的重要組成部分。

信號(hào)頻率測量方法按照工作原理可以分為無源測量、比較測量、示波測量及技術(shù)等測量方法。其中最常見的測量方法是電子計(jì)數(shù)器,在該種技術(shù)下,頻率計(jì)實(shí)現(xiàn)單位時(shí)間內(nèi)被測信號(hào)脈沖數(shù)的直接計(jì)數(shù),并將其頻率值以數(shù)字的形式顯示。實(shí)現(xiàn)了對不同頻率、精確度的測頻需求,保障了測量結(jié)果的精確度和速度。

2.整形電路的設(shè)計(jì)

整形電路就像把模擬的信號(hào)轉(zhuǎn)換成為二值信號(hào),也就是使其成為只有高電平和低電平的離散信號(hào)。在電路設(shè)計(jì)時(shí)我們可以將電壓比較器用作模擬電路及數(shù)字電路的接口電路,通過其把非矩形信號(hào)轉(zhuǎn)換成矩形信號(hào)。在選擇比較器時(shí),我們要充分考慮影響信號(hào)接收和轉(zhuǎn)換功能的各種因素。下圖為其整體設(shè)計(jì)結(jié)構(gòu)圖:

首先,是信號(hào)傳播可能存在的延遲及時(shí)時(shí)間。信號(hào)傳播的延遲時(shí)間是比較器選擇時(shí)所要考慮的重要參數(shù),這種時(shí)間的延遲有當(dāng)信號(hào)通過元器件時(shí)所產(chǎn)生的傳輸時(shí)間上的延遲和信號(hào)上升及下降的時(shí)間延遲,只有將延遲的時(shí)間降低到最小才能有效的縮短信號(hào)處理的時(shí)間。

其次,要充分考慮電源電壓對比較器的影響。就傳統(tǒng)而言,比較器一般需要正負(fù) 15 伏的雙電源來進(jìn)行供電或者需要達(dá)到36 伏的單電源進(jìn)行供電,這種傳統(tǒng)的比較器在一些工業(yè)控制中仍有使用的空間和發(fā)展前途但以不適應(yīng)發(fā)展的主流?,F(xiàn)在多數(shù)的比較器需要在限定的電壓條件下進(jìn)行工作,即在電池電壓所能夠運(yùn)行的單電源單位內(nèi)進(jìn)行工作,因此對其提出了低電流和小封裝等當(dāng)面的要求,并且在實(shí)際的應(yīng)用中比較器還應(yīng)該具備一定的關(guān)斷的功能。當(dāng)具備上述條件是,比較器才能夠在試驗(yàn)箱中得到有效的利用,保證頻率計(jì)在不同電源電壓條件下的正常工作。

再次,充分考慮功耗對比機(jī)器的影響。功耗的大小直接影響比較器使用壽命和工作效果,功耗越低時(shí)其比較器的耗損相對較低,使用使用壽命得到延長,然而功耗由于器件的運(yùn)作速度相關(guān),功耗降低的同時(shí)可能帶來運(yùn)作速度的降低,因此,在比較器選擇時(shí),充分考慮功耗與元器件壽命及其運(yùn)作速度的關(guān)系,尋得一種最優(yōu)組合。

最后,不可忽視門限電壓對比較器的影響。器件的設(shè)置可以用來實(shí)現(xiàn)對門限電大的測量,門限電壓的大小與電路抗干擾能力呈現(xiàn)一種正比例的關(guān)系但與其敏感度成反比例關(guān)系。當(dāng)我們通過對門限電壓的測量并通過一定的公式計(jì)算,根據(jù)實(shí)際工作的需要來確定門限電壓的具體值。

當(dāng)我們充分考慮上述影響因素時(shí),便會(huì)有針對性的選擇相應(yīng)的新品用于單元電路的設(shè)計(jì),從而實(shí)現(xiàn)信號(hào)在電路中的順利傳輸,避免芯片燒壞等現(xiàn)象的發(fā)生。

3.計(jì)數(shù)電路的設(shè)計(jì)

實(shí)現(xiàn)對信號(hào)的整形后我們便要關(guān)注一些低頻信號(hào)由于其上升速度等原因可能產(chǎn)生的計(jì)數(shù)影響,因此在電路設(shè)計(jì)時(shí)應(yīng)該根據(jù)信號(hào)的特點(diǎn)來完善計(jì)數(shù)電路的設(shè)計(jì)。低頻信號(hào)上升緩慢或者高頻信號(hào)疊加于其中時(shí)會(huì)使得計(jì)數(shù)電路將該種抖動(dòng)作為輸入脈沖予以計(jì)數(shù),從而產(chǎn)生計(jì)數(shù)上的誤差。避免該種現(xiàn)象的發(fā)生,我們可以通過低通濾波器的使用來處理低頻信號(hào)傳輸中可能產(chǎn)生的抖動(dòng),并經(jīng)過濾波器濾除疊加的高頻信號(hào)。而反相器的使用可以實(shí)現(xiàn)在濾波前把高頻信號(hào)和低頻信號(hào)予以分開,即僅使低頻信號(hào)經(jīng)過反相器實(shí)現(xiàn)濾波得到比較規(guī)則的矩形信號(hào)而高頻信號(hào)則不經(jīng)過該過程。經(jīng)濾波后的矩形信號(hào)輸入到單片機(jī)中,在單片機(jī)選擇時(shí),低電壓、高性能是我們考慮的重要方面,同時(shí)還要選擇體積較小功能相對較強(qiáng)的單片器,實(shí)現(xiàn)迅速有效的技術(shù)。單片機(jī)計(jì)數(shù)器的精確度和終端結(jié)構(gòu)的類型都會(huì)影響計(jì)數(shù)結(jié)果,通過精密比較器的植入和振蕩器電路的設(shè)置,實(shí)現(xiàn)頻率計(jì)的精度和存儲(chǔ)等方面的要求。在單片機(jī)選擇時(shí)還應(yīng)該考慮技術(shù)進(jìn)步革新對于存儲(chǔ)器程序的選擇和更新的可能,并且考慮單片機(jī)大小對于整個(gè)電路系統(tǒng)的影響,保證程序?qū)懭氲谋憷?。下圖為其計(jì)數(shù)模塊設(shè)計(jì)圖:

此外,對于計(jì)數(shù)電路的設(shè)計(jì)還要考慮信號(hào)頻率高低的不同對計(jì)數(shù)器可能產(chǎn)生的影響,實(shí)現(xiàn)單片機(jī)對不同信號(hào)頻率進(jìn)行分頻處理。經(jīng)過整形后的信號(hào)進(jìn)入選定規(guī)格的反相器后,對不同頻級(jí)的信號(hào)進(jìn)行分級(jí)處理,單片機(jī)頻率自動(dòng)分辨處理能力的選擇能夠有效的降低一些頻級(jí)信號(hào)的分辨和處理,保證計(jì)數(shù)器工作的效率和速度。同時(shí)計(jì)數(shù)器的顯示值的大小根據(jù)信號(hào)的頻值進(jìn)行實(shí)現(xiàn)隨機(jī)變動(dòng),實(shí)現(xiàn)對不分頻信號(hào)、高頻機(jī)低頻信號(hào)的有效計(jì)數(shù)。

4.顯示電路的設(shè)計(jì)

顯示電路是數(shù)字頻率計(jì)電路設(shè)計(jì)的重要組成部分,它負(fù)責(zé)將整形電路及計(jì)數(shù)電路處理的數(shù)據(jù)顯示出來。在該電路設(shè)計(jì)時(shí)我們要考慮的因素便是顯示材料的選擇及數(shù)據(jù)顯示的方式。LED 數(shù)碼管的類型會(huì)對數(shù)據(jù)的現(xiàn)實(shí)產(chǎn)生一定的影響,而該種材質(zhì)的數(shù)據(jù)顯示方式又分為動(dòng)態(tài)和靜態(tài)兩種。就兩種現(xiàn)實(shí)方式的優(yōu)缺點(diǎn)而言,靜態(tài)現(xiàn)實(shí)具備較高的亮度,為我們及時(shí)準(zhǔn)確的讀取數(shù)值提供了視覺便利,且其接口編程相對容易,但是該種顯示方式會(huì)占用較多的口線,顯示的位數(shù)直接關(guān)系到鎖存器的數(shù)量,這直接帶來所用器件數(shù)量繁多和連線的龐雜 ;而動(dòng)態(tài)顯示相交而言能夠避免上述一些缺點(diǎn)。在動(dòng)態(tài)顯示使用時(shí),先確定未選實(shí)現(xiàn)選定未選的段碼的顯示,經(jīng)過一定的延時(shí)再實(shí)現(xiàn)對下一選定為送段碼顯示,并依此循環(huán)。下圖為其顯示模塊圖:

其具體的工作流程可以解釋為,單片機(jī)中不同的構(gòu)建作為譯碼器實(shí)現(xiàn)信號(hào)的輸入,由譯碼器的輸出來確定數(shù)碼管的選擇位。將每個(gè)數(shù)碼管的公共端與一個(gè)接有高電平的 PNP 三極管的集電極相連,同時(shí)將三極管的基極和譯碼器的輸出端相連接,這樣可以通過對軟件編程來設(shè)置單片機(jī)中的不用位置構(gòu)建,從而設(shè)計(jì)譯碼器的輸入端,其輸出端設(shè)為低電平且只設(shè)一位,從而使與其連接的三界關(guān)處于一種飽和的狀態(tài),實(shí)現(xiàn)對計(jì)數(shù)器數(shù)據(jù)的動(dòng)態(tài)顯示。實(shí)現(xiàn)顯示器電路中各元件的有機(jī)連接后,還要注重送段碼的相關(guān)問題,使得相應(yīng)位數(shù)的送段碼可以通過一定串行口在數(shù)碼管上進(jìn)行顯示。

5.結(jié)束語

除上述電路設(shè)計(jì)外,電子頻率計(jì)的設(shè)計(jì)還要注重電源、濾波等電路的設(shè)計(jì),只有將各種影響其工作的單元電路的設(shè)計(jì)不斷的精細(xì)化和完善時(shí),才能有效的保證其工作的效率和在實(shí)驗(yàn)和工業(yè)中的使用效果。

【參考文獻(xiàn)】

[1]沈亞鈞.基于單片機(jī)的數(shù)字頻率計(jì)設(shè)計(jì)[J].山西電子技術(shù),2012(05).

[2]楊帆.數(shù)字頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)[J].科技廣場,2011(09).